Saturday, December 29, 2012

Дэлхий дахины түүх, соёлын хөгжилд монголчуудын гүйцэтгэсэн үүрэг

Ж.Болдбаатар - МУИС-ийн Түүхийн судалгааны хүрээлэнгийн захирал, академич 
2006 онд  Монголчууд дэлхийн улс түмний хамт Их Монгол улс тулгын чулуугаа тулж, гал голомтоо бадраасны 800 жилийн ойг ёслол төгөлдөр тэмдэглэсэн билээ. Түүхт ойг чингэж тэмдэглэхийн учир бол хүн төрөлхтний туулж өнгөрүүлсэн хорин зуунаас  хоёр зуун буюу XIII-XIV зууны ертөнц дахины түүхийг монголчууд голлон тодорхойлж, дэлхийн дундат зууны түүхэнд хосгүй ул мөр  үлдээсэнд оршино. Түүнчлэн энэхүү ойг тэмдэглэх нь өнгөрснөөсөө сургамж авч, өнөөгөө сайтар ухааран, ирээдүйд сэрэмжлүүлэг болгох ач холбогдолтой юм.
 Түүхийг үзэх өрнөдийн гурвалсан үечлэлийн сонгомол аргаар (1,47) бол монголчуудын дэлхийн талыг эзэгнэж явсан XIII-XIV зуун бол дундад зуунд хамаарч байна.  Монголчуудын хувьд дундад зуун бол 700 орчим жилийг хамарсан бөгөөд төр ёсны хувьд  мандаж, буурч явсан мандал бадрал, бууралт, дахин сэргэлтийг тээсэн буурал түүхийн он жилүүд байлаа.
Тэр үеийн Монголчууд гол төлөв евроцентристүүд юм уу, марксист түүх бичлэгт дүрсэлдэг шиг аллага хядлага, адал балмад явдлын дон шүгэлсэн устган сүйтгэгч, зэрлэг бүдүүлгүүд төдий байсангүй, харин ч дэлхий дахины болсон бүс нутгийн түүхэнд хүндэтгэн дуурьсах хөгжил дэвшлийг авчирсан ард түмэн гэдэг нь түүхийн нугачаа, ээдрээ тайлагдах бүр улам тодорсоор байна.
Их Монгол улс байгуулагдсаны 800 жилийн ойг олон улсын хэмжээнд тэмдэглэж байгаа энэ үед дэлхий дахины түүхэнд монголчуудын гүйцэтгэсэн үүргийг түүхийн үүднээс санан дурсах нь зүйд нийцнэ хэмээн үзэж байна.
Yүнд:
Нэгдүгээрт. Монголчууд дэлхийн дундад зууны үеийн улс төрийн амьдралд гүн гүнзгий ул мөрөө үлдээсэн билээ. 1189 онд Есүхэй баатарын хөвгүүн Тэмүжин Хамаг Монголын нэгдлийг дахин сэргээж, хан сэнтийд сууснаар Чингис хэмээх алдрыг олсон байна. Хамаг Монголын нэгдлийг сэргээснээр эл ханлиг “Толгойлогчийн эзэмшил” буюу “Chiefdom”-ын (2 ) төлөв байдлаас гарч, төр ёсны чамбай бүрдлийг олж чадсан юм. Чингэснээр Тэмүжин хагацсан улсаа хамтатгах, бутарсан улсаа бүртгэх тэмцэлд эрслэн босчээ. Тэрбээр язгуурын монголчуудыг нэгтгэхдээ цэцтэй үг, ончтой санаа, төрийн мяндсан ухаан, ухаалаг менежментээрээ бусдаас гойд ялгарч байлаа.
Тэмүжин 1185-1206 оны хооронд их, бага гучин хоёр удаагийн тулалдаан хийж байж, монгол угсааны нийт 81 ханлиг, аймгийг араасаа дагуулж, Их Монгол  улс байгуулах их үйл хэргийг бүтээсэн билээ.
Улаан барс жил буюу  1206 оны намар цагт Онон мөрний эхнээ Чингисийн орд өргөөнд монгол язгууртан дээдсийн их чуулган-Их Хуралдай хуралджээ. Эл хуралдай язгуурын монголчуудыг нэгтгэх үлэмж их үйл хэргийг орь залуугаасаа 20 гаруй жил оройлон манлайлж, эр тавирсан 45 насандаа бодит биелэл болгосон Тэмүжинг шинэ тулгар нэгдсэн Монгол улсын хаан ширээнд нэгэн дуугаар өргөмжилсөн байна. Энэ тухай “Монголын нууц товчоо”-нд “…эсгий туургатан улс энх шударга болж, Барс жил Онон мөрний эхэнд хуралдаж, есөн хөлт цагаан тугаа  мандуулаад,  Тэмүжинд Чингис хаан (Далай хаан) цолыг өргөв” (3,164) хэмээжээ. Ийнхүү монгол угсааны олон ханлиг, аймгуудыг нэгтгэн захирсан Их Монгол улс Өвөр Азид гал голомтоо бадраасан юм.
Их Монгол улсын төрийн шинж чанарын талаар эрдэмтэд хоёр бүлэг санал дэвшүүлж байна. Үүнд:
а. Их Монгол нь төрийн бүрдлийг бий болгож чадаагүй, зөвхөн Чингисийн суу заль, онцгой чадвар дээр (харизм) тулгуурласан ерийн нэгдэл байсан. Оросын зарим эрдэмтэд ингэж үзэж байна. (4)
б.  Их Монгол улс бол орчин цагийн улс төр судлалын “улс” хэмээх ухагдахууны болзлыг бүрэн хангасан улс байсан. Академич Ш.Бира болон монголын олон эрдэмтэд, өрнө, дорнын олон судлаач ингэж үзэж байна. (5, 206 )  Энэ нь ямар ч байлаа гэсэн  Өвөр Азийн бүс нутгийн түүхэнд болсон эргэлтийн шинжтэй үйл явдал байлаа. Ингэж нэгдэн нийлж, нягтарсан эсгий туургатнууд анх удаа нийтлэг нэг нэртэй болж, удалгүй үндэстний хувьд адилсан, сэтгэлгээ нь омогшин бадарч, өөрсдийгөө монголчууд хэмээн урамшин бахархах болжээ.
- Төв Азийн цээжнээ 1206 онд үүсэн байгуулагдсан шинэ тулгар Монгол улс цаашид улам улам дэвшин дээшлэх үү, эсвэл тэгсхийгээд бууран доройтох уу гэдэг нь улс орныхоо хил хязгаарыг тэлж, өрсөн ирэх аюулаас хэрхэн хамгаалахаас шууд шалтгаалах байсан бүлгээ. Учир нь шинэ тулгар Монгол улсыг орчин тойрны нь улсууд ямагт өдөн хатгаж, заналхийлж байлаа. Чингис хаан, түүнийг залгамжлагчид өрсөн ирэх аюулаас урьтан, дорно, өрнө зүг дайн хийж, Наполеон, Гитлер, Александр Македоныхныг бүгдийг нийлүүлснээс илүү 7 сая 770 мянган ам дөрвөлжин нутгаар хаяа тэлж, хүн амын их зонхи төвлөрсөн Хятад, Араб, Европын соёл иргэншилт орнуудыг Монголын эзэнт гүрэнд нэгтгэжээ. Энэ нь:
-Дэлхий дахины төр ёсны хөгжилд өөрийн гэсэн өнгө аястай нүүдэлчдийн төр улсын хэв маяг, зохион байгуулалтын өвөрмөц бүтэц, хэлбэрийг буй болгож чаджээ. Ялангуяа 1206 онд Чингис хааны үүсгэн байгуулсан Их Монгол улс, улмаар дэлхийн талыг эзэгнэсэн эзэнт гүрэн бол “төр”, “улс” гэдэг ухагдахууныг жинхэнэ байх ёстой утгаар нь дэлхийн олон үндэстэн угсаатанд, бүр тодруулж хэлбэл өдгөөгийн хоёр их хөршдөө ч ойлгуулж өгсөн юм. Монголчууд нүүдэлчдийн дунд төр ёс, нүүдэлчдийн ардчилалын зарим бүрдлийг тогтоон, түүнийг суурьшмал, тухайн үедээ төр ёс, баттай хэлбэржсэн гэгдэх орнууд ч хүртэл уламжлан авсан бүлгээ. Хүн төрөлхтөний түүхэнд монголчууд парламентат ёсны өвөг гэж хэлж болохоор Их Хуралдай, Сэцдийн зөвлөл зэрэг нүүдэлчдийн ардчиллын шинжийг өөртөө агуулсан өвөрмөц бүрдлүүдийг анх үүтгэн өвлүүлсэн гэж хэлж болно.
Тэр үеийн монголын нийгэмд “Ёс ёмбогор, төр төмбөгөр” хэмээх үзэл ноёрхож, төр ёсыг дээдлэн, хууль цааз, дэг журам нийгмийн амьдралын эгэл хэм хэмжээ болон тогтсон нь эрх зүйн чанд тогтолцоо бүрэлдүүлж чадсантай холбоотой байлаа. Энэ үед хүчин төгөлдөр үйлчилж байсан “Их засаг” хууль бол замба тивийн соёл иргэншлийн гол төвүүдийг хамран оршсон их гүрнийг нэгтгэн захирах, засан тохинуулах, хэд хэдэн зуун дамнан тогтнож, өрнө, дорныг ойртуулан даяаршуулах эрх зүйн үндсийг бүрдүүлж өгсөн онцгой ач холбогдол бүхий цааз эрхэмж байлаа. Товчоор хэлбэл, “Их засаг” хууль ХIII-ХIV зууны дэлхий дахины хууль цаазынг үндсэн зарчмыг тодорхойлох чиглэлтэй байсан юм.
Чингис хааны байгуулсан төр агуулга, хэлбэрийн хувьд хичнээн гүн бат үндэстэй байсныг өөрийг нь таалал төгссөнөөс хойш эзэнт их гүрний байдлаар 150 жилийн турш үргэлжлэн оршин тогтнож чадсан явдал гэрчилнэ. Монголын эзэнт гүрний төр олон үндэс, язгуур угсааны иргэд харъяатууддаа “Төр бол эрхэмсэг байдаг” хэмээн эгэл үнэнийг ойлгуулж өгсөн нь олон жил хэвийн оршин тогтнох үндэс болж өгчээ. Тодорхой хэлбэл, монголын төр иргэд харъяат нарынхаа эрх ашиг, хүсэл зоригийг зохих түвшинд илэрхийлж, хамгаалж чадаж байсан учраас бүтэн хагас зууныг элээн эзэнт гүрний байдлаар оршин тогтнож чаджээ.
-Чингис хаан Их Монгол улсыг байгуулах үед “Хэн нь хэнийгээ вэ?”, “Хэн өрсөж, хэн хүчирхэг нь хожно”  гэсэн зарчим олон улсын харилцаанд үйлчилж байсан. Их хаан өрнө, дорно руу дайн хийхдээ хэнийг ч эхэлж өдөөгүй, харин найрамдах, чөлөөт худалдаа хийх санал тавьж, найрамдал, энхийг хүсч очсон элчийг нь алж талж, доромжилсны нь төлөө л дайн байлдаан үүсгэж, эрхшээлдээ оруулж байв. Өдвөл сөдөхөөс аргагүй байдлыг тухайн цагийн олон улсын харилцааны нөхцөл байдал шаардаж байлаа.
Монголын улсын Ерөнхийлөгч асан, доктор Нацагийн Багабанди “Дэвшилд шинэчлэл, уламжлалын холбогдол” хэмээх өгүүлэлдээ: “Бидний өвөг дээдэс чинагуухаас инагшлуулан бодож чаддаг байсан учраас л өөрийгөө хамгаалж, энэ зорилгоороо тухайн цагийн ойлголтын хэмжээнд дэлхий ертөнцийн ихээхэн газар нутгийг эзлэх хүртэл өөрийн эрхгүй тэмцэж явсан түүхтэй. Тэр үедээ монголчууд бусад орнуудыг учир шалтгаангүйгээр инад талаас нь дайран давшилж, түрэмгийлэн эзлэж байсан гэх үзлийг эдүгээ шударга судлаачид улам бүр няцаасаар байна. Монголчууд, хэрэв зөвхөн цуст түрэмгийлэгчид л байсан бол ийм том ялалтанд хүрэх байсан уу? Чингис хаан улс гүрнээ хамгаалсан тэмцэлдээ ялж байсны дүнд өөрийгөө ертөнцийг эзэгнэгч гэж мэдрэх түвшинд хүрсэн буй заа. Македоны Александр, Наполеон хоёрын хэн нь ч өөрийгөө тэгж мэдэрч чадсан гэх аргагүй юм. Чингисээс хойш амьдарч үлдсэн Наполеон “Би Чингис шиг аз заяатай байж чадаагүй” гэж хэлсэн нь дайнд ялагдсаныгаа бус, дэлхийг эзэлж чадаагүйгээ бус, харин дайтахын учир шалтгаан өөрийнх нь хувьд утгагүй, өөрөөр хэлбэл, чинад талын эзлэн түрэмгийлэх гэсэн аюул занал байгаагүй нөхцөлд түрэмгийлэх дайн хийснээ ухаарснаа илэрхийлсэн үг бизээ. Ийм ухаарал байгаагүй үед л дайнд ялагддаг.
Чингис хаан өөрийгөө “Замба тивд оршин амьдарч 720 төрлийн хэлээр ярьдаг, 5 өнгийн улс түмний эзэн” боллоо гэж сэтгэж явсан нь чинад талаас учруулж байсан аюулыг сөрөн давсны үр дүн байв. Чинад талын заналхийллийн улмаас л байлдаж байж, дайн хийхээс аргагүй болж байжээ. “Чинад тал дайсагнаагүй бол Чингис түүний удмын хаадууд тэгж явах ямар ч хэрэггүй гэж хэлбэл түүхийн үнэнд нийцнэ. Чингисийн эзэнт гүрэн дэлхийн тал хагасыг хамарсан хувь заяаны учрал ийм байв” /6.121/ хэмээн Монголын байлдан дагууллын учир шалтгааныг жинтэй дүгнэжээ.
-Дайн бол дайн. Эрдэнэт хүний амь, хот суурин сүйдэж байсан. Гэхдээ марксист юм уу, эсвэл Дундат Азийн зарим түүхчдийн бичдэг шиг нэг хотоос л сая, саяар нь алж, талж байгаагүй. Тэр үед нэг сая хүнтэй хот бий болоогүй, сая хүнтэй хот гэдэг ХХ зуунд бий болсон шинэ үзэгдэл байв. Хэрэв тэр цагт монголчууд тэгж яс махан овоо босгож байсан юм бол тэр олон сая хүний яс хохимой хожим Дундат Азийн цөлөрхөг зэлүүд тал нутгаар өдгөө цайран харагдах биш үү. Тийм ямар ч ул мөр эдүгээ алга байна.  Гэтэл тэндээс түүнээс олон мянган жилийн өмнөх археологийн ховор нандин олдвор олдсоор л байгаа. Чингис хаан, түүнийг залгамжлагчид аливаа байлдан дагуулагчийн нэгэн адил сүр хүч үзүүлэхдээ үзүүлж, өршөөх үедээ өршөөж байсан бүлгээ. Харин монголчууд өөрийн шашин суртлыг бусдад тулгаагүй, өөр өөрийн ёс суртал, шашнаа шүтэн амьдрах эрх олгож байсан нь үнэхээр нигүүлсэнгүй, өршөөнгүй сэтгэлээр хандаж байсны илрэл юм. Чингис хаан, түүнийг байлдан дагуулал нь эхэн үедээ “даяарчлах” шинжтэй байснаа сүүлдээ хүн төрөлхтнийг “даяаршуулах” агуулгатай болсон гэж үзэж болохоор байна. Энэ утгаар нь өрнө, дорнын томоохон түүхчид, тухайлбал, Америкийн эрдэмтэн Жек Ведерфорд: Чингис хаан бол өнөөгийн ертөнцийг үндэслэгч (7) гэж үнэлжээ.
- Чингис хаан, түүний залгамжлагчид олон улсын элчин харилцаа, дипломат бодлого, үйл ажиллагаанд найрсаг, шинэ өнгө аяс оруулж өгсөн юм. “Их Засаг” хуульд элчин төлөөлөгч солилцох, тэдэнд хүндэтгэл үзүүлэх, улс хоорондын худалдааны замыг чөлөөлөх асуудлыг хуульчилжээ. Тухайлбал:
- Элч зарлагыг гутаан доромжилж үл болно.
- Хуурамч элчийн амь насыг хөнөөнө
- Элч, албан тушаалтныг унаа, хоол хүнсээр хангана.
- Улс хоорондын арилжаа, худалдааны аюулгүй байдлыг хангана гэх мэтээр заасан байна.
- Байлдан дагууллын явцад тухайн үед аль ч оронд байгаагүй цэрэг дайны өвөрмөц соёлыг бүрэлдүүлж, дэлхийн цэргийн стратеги, тактикт үнэтэй хувь нэмэр оруулсан юм. Францын эрдэмтэн Рене Груссет: “... монгол тактик гэдэг нь хүннү ба түрэгүүдийн эртний тактик, соёлт иргэдийн зах хязгаарыг уулгалан дайрдаг дадлага, туршлага, тал хээрийн ав хомрогоор байнга хуримтлагдан төгөлдөрждөг нүүдэлчдийн тактик болой” ( 8, 56) гэжээ. Монгол цэргийн хүчтэй байсны гол нууц бол хүн бүрийг бага балчираас нь цэргийн сургалтад хамруулж, тасралтгүй шинжтэй байсан, улс орон, хот сууринг эзлэх бүр тэндээс олж авсан цэргийн шинэ зэвсэг хэрэгсэл, арга тактикийг тэр дор нь армидаа нэвтрүүлж байсан, монгол цэрэг, монгол морины хоорондын ээнэгшин дассан байдал, хурдыг ялангуяа суурьшмал орнууд гүйцэхгүй байсан зэрэгт оршино.
- Чингис хаан, түүнийг залгамжлагчдын байлдан дагуулал нь Орос, Хятад хоёр хөршөө хожмын их гүрэн болон төлөвших үндэс суурийг тавьж өгчээ. Оросын гүн ухаантан Чаадаев, Федотов нар Монголын довтолгооны босгон дээр Орос орон “мянган жил унтаа” байсан юм гэж тэмдэглэжээ. Үнэхээр ч Монголын байлдан дагуулалтын өмнө Орос орон шавар, чулуун хэрмэндээ тусгаарлагдсан жижиг ванлигуудаас тогтож байсан билээ. Евразийн хөдөлгөөнийг оройлон манлайлагч, гол онолч, Оросын Шпенглер хэмээн алдаршсан Николай Трубецкой: “Чингис хааны үйл ажиллагаанд нураан эвдэх эрмэлзлэл арай түлхүү байсан хэдий ч түүний бүтээх, зохион байгуулах хүсэл зориг нь  үлэмж их, эерэг үзэл санааг түгээн дэлгэрүүлэгч болж байв. Иймд түүхт Орос бол чухамдаа Чингис хааны улсыг залгамжлагч болохыг хүлээн зөвшөөрөхөөс аргагүй юм” (9, 237) гэжээ. Өнөөгийн Оросын нэрд гарч буй идэр залуу түүхч Р.П.Храпачевский энэ санааг гүнзгийрүүлэн дэмжсэн байна. (10,399) Хятад орон ч саланги тусгаарлагдсан, өөр хоорондоо дайсагналцсан жижиг улсуудаас бүрдэж байсныг түүх гэрчилнэ.
- Чингис хааны үе болон дараа дараагийн монголчуудын амьдрах соёлд бусад улс үндэстнийг өөртөө уусгах бодлого хэзээ ч байгаагүй, ялангуяа Чингис хаанд дан ганц бусдыг түрэмгийлэн эзлэх, тонон дээрэмдэх өрөөсгөл ганц талын хүсэл зориг, хожим шинэ түүхийн үед том гүрнүүдэд үүссэн шиг дэлхийд ноёрхохын тулд эзлэн колоничлох бодлого байгаагүй болно. Монголын эзэнт гүрний бодлого түүхэн бодит нөхцөл шалтгааны улмаас эзэрхэг түрэмгий шинжтэй байсан ч дундат зууны олон улсын харилцааны эрх зүйн хэм хэмжээг хүндэтгэж байсан төдийгүй, түүнийг зарим талаар харьцангуй шударга дэвшилт шинж төрхөөр баяжуулж байжээ. Энэ үеийн олон улсын харилцааны философи нь дэлхийн эх газрын их зонхид улс төр, шүтлэг бишрэл, олон үзэл бодлын найралт ёс, нээлттэй чөлөөт байдал тогтоох хандлага бүхий байлаа. Түүхэн цаг үеийн эрхээр энэ философи өдгөөгийн олон улсын харилцаанд улам тод томруун илэрч байна.
- Монголчууд дэлхийн дундат зууны улс төрийн түүхэнд дурсан тэмдэглэхээс аргагүй хэд хэдэн аугаа их хүмүүнийг төрүүлжээ. Үүнд юуны өмнө, үлэмж их Чингис хаан зүй ёсоор орно. Эдүгээгийн судалгаанд Чингис хаан бол монголын төрийн сэцэн билэгт зүтгэлтэн, монгол үндэсний гарамгай баатар, аугаа их жанжин, өнөөгийн дэлхий ертөнцийг үндэслэгч хэмээх үнэлгээ илт давамгайлж байна. Дэлхий дахины түүхэнд гарамгай үүрэг гүйцэтгэснийхээ хувьд нэг ч төрийн зүтгэлтэн Чингис хаан шиг судлаачид, зохиолч, сэтгүүлчдийн анхаарлыг сүүлийн 850 шахам жилийн хугацаанд татаж чадаагүй гэж бардаагаар хэлж болно.
Хоёр дахь их хүмүүн бол түүний ач Хубилай сэцэн хаан юм. Судлаачид түүнийг эерэг, сөрөг янз бүрээр үнэлж иржээ. Нэг хэсэг судлаачид хууль бусаар хаан суусан, нийслэлийг Хятад руу шилжүүлсэн, эх нутгаа хөсөр хаясан гэх мэтээр зэмлэн буруутгаж байхад нөгөө хэсэг нь тэр цагийн эрдэм боловсролыг сайтар эзэмшиж, төрийн хэргийг эрдэм соёлоор тохинуулан жолоодож, хууль цааз, ёс журамыг шинэчлэн тогтоосноороо сэцэн хаан хэмээн алдаршсан гэж бичиж байна. Бид нэг талыг хэт баримтлан өрөөсгөл ташимгай үздэг өмнөх тогтолцооны өрөөл татанхай үзлээсээ салах цаг болсон. Хубилай хаан Хархорумд нийслэлээ хэвээр хадгалан, дорно дахиныг захирсан бол ямар сөрөг үр дагавар гарах байсан бэ? гэдэг асуултыг өөртөө тавиад үзье л дээ.
Тэгвэл наад зах нь хүчирхэг хаант засагтай үед төвд тэмүүлэх хүч үйлчилдэг жам ёсоор тэр үеийн 100 сая орчим хятадуудаас наад зах нь 2-3 сая хүн Хархорум, ер нь Монгол нутагт ирж суурьших байсан байх. Тухайн тэр цагт монголчууд нэг сая хүрэхтэй, үгүйтэй байсан гэсэн судлаачдын тооцоо бий. Энэхүү уусгах аюулыг Хубилай хаан эргэцүүлэн нягталж үзжээ. Мөн их хаан Шанду, Бээжин рүү нийслэлээ шилжүүлэхдээ Хятад нутагт төрийн төвөө сонголоо гэж бодоогүй монгол, хятадын эртний хилийн зааг дээр очиж, дорно дахиныг эзэгнэсэн их гүрнийг илбэн тохинуулах холч, голч бодлого явуулна гэж тооцоолжээ. Энэ санаа зөв зүйтэй байсныг түүхэн үйл явц нотлосон билээ. Хубилай хааны түүхэн үүргийг ултай судалсан академич Ш.Нацагдорж “Эзэлсэн газар нутгаа эрхэндээ оруулсан, хүн ард болон тухайн үедээ олон улсын дунд эзлэх байр суурь, эрх нөлөөгөөрөө Хубилайтай тэнцэх эзэн хаан ертөнцийн түүхэнд урьд, хожид гараагүй “, ”Хубилай нүүдэл, суурьшилыг зохицуулах тэдгээрийн тэнцвэрийг олох, Монголыг монгол хэвээр нь үлдээхийг чармайж Монголын соёл иргэншлийг уусан хайлахаас аварсан” (11,96) , Америкийн нэрт эрдэмтэн Морис Россаби: “Хубилай хааны ололт, амжилтыг үгүйсгэх аргагүй юм. Түүний өв богино насалсан авч амьд ахуй үедээ олж авсан амжилт бүтээл нь түүнд өнө мөнхөд үргэлжлэх алдар цууг авчирсан билээ ...Хубилай хаан бол монгол хүн хэвээрээ үлдэж, хэзээ ч төрөлх араншингаа орхиогүй юм” (12) гэжээ. Үүнийг эл илтгэлд тусгайлан дурьдахын учир бол дэлхий дахин, ялангуяа дорно дахины түүхэнд Хубилай хаан болон монголчуудын гүйцэтгэсэн үүргийг үнэн зөвөөр нээн гаргах ач холбогдолтой юм. Хубилай хааныг манай зарим судлаачид, учрыг ойлгоогүй эгэл хүмүүс эх орноосоо урвасан мэтээр ярих нь хөөнд ассан ямаалзгана мэт өнийн бодолгүй хэрэг бүлгээ.
Хоёрдугаарт. Монголын эзэнт гүрэн байгуулагдан Өрнө, Дорныг эдийн засгийн мянга мянган сэжмээр холбож өгснөөр дэлхий дахины эдийн засгийн амьдралд хүчирхэг түлхэц үзүүлжээ. Юуны өмнө, Евро-Азийг хамарсан чөлөөт худалдааны асар өргөн уудам бүсийг бүрдүүлсэн байна. Монголчуудын эдийн засгийн гүн ухаан, менежмент нь дэлхий дахинд “арилжаа наймаа хийвэл эвлэрнэ, татгалзвал дайтна”, “нэгдсэн мөнгөн тэмдэгт болон эдийн засгийн давуу эрх”, “чөлөөт худалдааны бүс”, “өртөө улаа-мэдээлэл”, “цэргийн буухиа зам” хэмээх үнэхээр шинэлэг ойлголтуудыг бий болгож хэрэгжүүлжээ. Чингис хааныг мянган жилийн манлай хүнээр тодруулахдаа “Вашингтон пост” сонин: “Үүнийг дундад зууны үеийн GATT-ын тогтолцоо байсан гэж хэлж болно... Тэд Интернет бүтээгдэхээс аль тэртээх 700 жилийн өмнө дэлхийг холбосон харилцаа холбооны сүлжээг анх бий болгосон байна. Тэрээр (Чингис хаан Ж.Б) хүн хүч, шинэ арга технологийг сүлжилдүүлэн дэлхий ертөнцийг ойртуулж нягтруулсан ...” хэмээн тодорхойлжээ. Цааш нь бичихдээ: “Монголчууд Европт хар тахал өвчин түгээсэн юм. Хар тахлын нян дорно зүгээс монголчуудаар дамжин Европ руу тархжээ. Энэ өвчнөөр Европын хүн амын гуравны нэг нь устмагц ажиллах хүчний үнэ цэн өсч, энэ нь улмаар феодалын нийгмийн тогтолцооны үндэс суурийг халж, капитализмыг үүсгэн төрүүлэхэд хүргэсэн байна” (13,33-34) гэсэн анхаарал татам дүгнэлт хийжээ. Чингэхлээр, монголчууд нэгд, эх дэлхий-бидний нэгэн гэр орон гэсэн өдгөөгийн өөдрөг үзлийн эхийг тавьсан, хоёрт, эхийнхээ умайд бадриун чийрэг хүүхэд хүч аван хөдлөх мэт Европын дундад зуун, хамжлагын харилцааны хэвлийд хүчирхэг лугшилт өгч, үлэмж дэвшлийг тээж ирсэн капиталист харилцаанд шилжих шилжилтийн агшинг мэдрүүлж өгчээ. Солонгосын судлаач, нэрт нийтлэлч Ким Жон Рэ “Мянган жилийн түүхэн хүн” хэмээх номондоо: “Чингис хаанаас авсан европчуудын цочрол түүхийн хүрдийг эргүүлэх хэмжээний цочрол болсон” (13,35) хэмээн тун онож тэмдэглэгдсэн байна. Энэ судлаач бас Монголын эзэнт гүрний дэлхий дахины түүхэнд гүйцэтгэсэн үүрэг, гавъяа, ололт амжилтын нууцыг орчин үеийн “улс орнуудын чөлөөтэй өрсөлдөх чадвар”-ын ойлголтын үүднээс хөндөн үзэж, энэхүү ололт, дэвшлийн үндэс нь “нарийн мэргэжлийн хамтлаг бүрдүүлж, нээлттэй нийгмийн цэргийн олон талт стратегийн бодлого явуулж, технологи, мэдээллийн сүлжээгээр ноёлж, улс орноо инженерчилсэн зохион байгуулалтад оруулж чадсан явдал” хэмээн үзэж, энэ нь өдгөөгийн АНУ-ын дэлхийн эдийн засгийг залан чиглүүлж байгаатай агуулгаараа адил төстэй юм (13,5) гэж тэмдэглэжээ.
- Монголчуудын дээд өвөг-Хүннүгийн үеэс сонгодог шинжтэй болсон нүүдлийн мал аж ахуй нь Их Монгол  улс, Эзэнт гүрний үед аж ахуйн нарийн мэргэшсэн чиглэл, бие даасан тогтолцоо болон бүрэлдэн хөгжжээ. Эл аж ахуй дэлхий дахины эдийн засгийн хөгжлийн нийтлэг шинж, түүхэн хандлагыг агуулах боловч, бэлчээрийн мал аж ахуйг эрхлэн хөтлөх талаар дэлхийн эдийн засгийн түүхэнд үнэнхүү бие даасан өвөрмөц арга ухаан, технологи, соёлыг бий болгосон юм.
Монголчуудын бэлчээрийн мал аж ахуй нь өвсний соргог, усны тунгалаг, уулын нөмөр бараадаж, цаг улирлын аясаар нутаг солих “хаваржаа-зуслан-намаржаа-өвөлжөө” гэсэн нүүдлийн амьдралын нэгэн бүхэл бүтэн эргэлтийг буй болгосон байна. Үүгээр нүүдэллэхүй хэмээх үйлдвэрлэлийн бие даасан технологийг боловсруулсан. (14.59) хэмээн зарим судлаачид үздэгт бүрэн тал өгч болох юм.
XIII-XIV зууны үеэс бүрэн бүрэлдэн төлөвшсөн хот айл нь нүүдлийн мал аж ахуй эрхлэх, малчдын хүч хөдөлмөрөө нэгтгэж аж төрөх хэлбэр бөгөөд нүүдэлч малчдын хоорондын цогц харилцааг илэрхийлсэн монголын нийгмийн өвөрмөц үзэгдэл байв.
Гуравдугаарт. Монголчууд Өрнө, Дорныг соёлын түмэн шижмээр холбож, өнөөгийн дэлхий дахины соёлын нийтлэгийн эхийг тавьж, эл үйлсэд үнэтэй хувь нэмэр оруулжээ. Гаднын нэгэн түүхч Монголын эзэнт гүрэн бол Евразийн хувьд “Соёлыг ариутгагч ордон” байсан гэж тэмдэглэжээ. Үүнд:
-Монголчууд Өрнөдөд хэвлэх машин, луужин, сампин, дарь зэргийг, мөн эргээд Дорно дахинд ган төмөр, шил, паалан, цайран шил зэргийг анх таниулжээ. Өрнө, дорнын улс түмнүүд улс төр, эдийн засаг, шинжлэх ухаан, соёлын өдий төдий мэдлэг, туршлагыг бие биендээ хүртээх боломжийг нээсэн байна.
 - XIII зууны үеийн монголчууд түүх-утга зохиолын хосгүй гайхамшигт дурсгалт бичиг-“Нууц товчоо”-г бүтээжээ. Оросын нэрт эрдэмтэн Б.Я.Владимирцов: “Дундат зууны үеийн нэг ч үндэстэн, Монголын адилаар түүхчдийн анхаарлыг татсангүй гэж хэлж болох бол бас “Нууц товчоо” шиг жинхэнэ амьдралыг тодорхой дүрслэн үзүүлсэн дурсгалт бичгийг нэг ч нүүдэлчин үндэстэн үлдээсэнгүй” (15, 8) хэмээгээд уг бүтээлийг “тал нутгийн анхилам үнэр нэвт шингэсэн түүх, он цагийн дарааллын бичиг мөн” (15.8) гэж үнэлжээ. Энэ дашрамд тэмдэглэхэд, XIV зууны эхээр бичиж дуусч, Рашид-Аддины нэрээр алдаршсан “Судрын чуулган”-ыг Оросын дорно дахины их бичгийн хүн В.В Бартольд энэхүү гайхамшигт туурвил бол “аугаа их түүхийн нэвтэрхий толь бөгөөд ийм дурсгалыг дундат зууны үеийн Ази, Европын нэг ч ард түмэн үлдээгээгүй юм” (16.94 ) гэж үнэлснийг монголчууд бид ч зүй ёсоор хуваалцах эрхтэй юм. Учир нь энэхүү бүтээлийг Перс дэх Монголын захирагч Газан ханы удирдлага, чиглэл, Персийн захирагчийн ордонд сууж буй Монголын их хааны бие төлөөлөгч Болд чинсаны шууд оролцоотойгоор туурвиж, “Алтан дэвтэр” хэмээх монголын эртний түүхэн сурвалж дээр тулгуурласан билээ. Түүнчлэн монгол эрдэмтэн гүүш нар “Ганжуур”, “Данжуур” хэмээх Энэтхэгийн хөлгөн их судрыг монгол хэлнээ хөрвүүлж, дорно дахинд түгээсэн анхдагчдын нэг болой. Эдгээр хөлгөн их цоморлиг монголын оюуны хөрснөө урган төлжиж, үндэсний мэт баттай соёолон дэлгэрчээ.
-Монголчууд XIII зууны үед дорно дахинд хамгийн том сургуулийг үүсгэн байгуулж байв. Хубилай хааны зарлигаар 1287 онд байгуулсан “Улсын хөвгүүдийн сургууль” нэртэй анхны их сургуулийг Германы нэрт монголч эрдэмтэн Хайсиг абугай “Билгийн далай” хэмээх “Эзэн хааны академи” гэж  нэрлэсэн байна. Хубилай хаан түүнийг залгамжлагчдын удирдлагын ивээл дор Монголын од зүйн мэдлэгээс улбаалж, Араб, Хятад, Энэтхэгийн дэвшилтэт аргыг хэрэглэн одон орны судлал, тухайн үеийн дэлхий дахины одон орон судлалаас илүү өндөр түвшинд хүрч, гайхам том амжилтанд хүрч байлаа.  Дундад зууны үеийн монгол эрдэмтэн Тогтох Ляо /Кидан гүрэн/, Цзин улс /Зүрчидийн алтан улс/, Сүн улс /Хятадын/ зэрэг гурван улсын түүхийг найруулан зохиосон нь дэлхийн түүх судлалын эрдэнэсийн санд зүй ёсоор орж, олон үндэстний хэлээр орчуулагджээ. Энэ бүхэн нь дэлхий дахины шинжлэх ухаанд монгол хүний оруулсан үнэт хувь нэмэр билээ.
- Монголчууд дундат зууны үед худам, дөрвөлжин, тод, соёмбо, али гали, вагиндра зэрэг зургаан тооны үсэг бичгийг зохион хэрэглэж, бас хожим кирилл, латин үсгийг бусдаас дам авч, өдгөө худам монгол, кирилл үсэг соёлтой үндэстэн дэлхий дахинд хуруу дарам цөөн. Бидний худам монгол бичиг буюу хүмүүн бичгийг гаргууд эзэмшсэн хүн өдгөөгийн таталган бичээчтэй /стенографист/ дүйнэ. Нэгэн жишээ дурдвал, Монголын бичгийн хүн Дашням гүн Засаг ноёныхоо хэлсэн ярьсныг морины давхиан дунд тэмдэглэж чаддаг гаргуун бичээч байжээ. Түүнээс хэн нэг нь та юу чаддаггүй вэ? хэмээн асуухад:
Би муухай бичиж чадахгүй, алдаатй бичиж чадахгүй, удаан бичиж чадахгүй гэж хэлсэн гэдэг.
-Монгол газраа бурхны шашин гурвантаа дэлгэрэх явцад уламжлалт монгол соёл, иргэншил, ахуй амьдралын салаа мөчир бүхнээ буйлан шингэж нүүдэллэн аж төрөх хэв маяг, зан заншил лугаа нийцэн зохицсон өөрийн өвөрмөц өнгө аяс, дүр төрх бүхий монголын бурхны шашин нэгэнт бүрэлдэн тогтсон байна. Бурхны шашин Их Монгол улс, Эзэнт гүрний хувьд төрийн шашин болж хөгжин дэлгэрснийг тэмдэглэх хэрэгтэй юм.  “Харьцангуйн онол”-оороо алдаршсан суут эрдэмтэн Эйнштейн: “Бүх шашны дотроос шинжлэх ухааны гэгдэх цорын ганц шашин бол буддизм” хэмээжээ. Монголын 300 гаруй эрдэмтэн лам нар буддын их, бага 10 ухааны салбарт билэг оюунаа сорьж, олон зуун бүтээл туурвисан нь он цагийн элэлийг дааж  эдүгээ ч ач холбогдлоо алдаагүй байна.
- Монголчууд шүлэглэг, хөгжимлөг сэтгэлгээгээрээ бусад улс үндэстний уран сайхны соёлд үл давтагдах шинжтэй ая данг буйлуулан төлжүүлж ирсэн ард түмэн.Их Монгол улс, Эзэнт гүрний үед энэ соёл улам гүнзгийрэн хөгжиж, өрнө, дорнын олон олон дэвшилттэй соёлын бүтээлтэй найрсан баяжиж, шинэ өнгө аяс, утга агуулгыг илэрхийлэх болов. Монгол түмэн хэзээнээс зөвхөн төр, иргэний баяр цэнгэл, найр наадмаар төдийгүй үлгэр тууль ярих, эрдэнэт мал сүрэгтэйгээ харьцах, тоглож наадах, тоо бодохдоо хүртэл аялан шүлэглэж, уран үгийн онч, ая дангийн донж маягийг олж чаддаг нь тэр улс түмэнд байдаггүй, байгаль-газар зүй, нүүдлийн амьдрал ахуйн онцлогоос үүдэлтэй өвөрмөц сонин үзэгдэл юм. Тухайлбал, ардын уртын дуу нь говь талын зэрэглээ, хөвч хангайн сүрийг бадрангуй хөглөж, монгол хүний гүн бодлогошронгуй, тал шигээ уужим талбиун сэтгэлийн тансаг илэрхийлэл, монгол дуу хөгжмийн язгуур урлагийн сүмбэр уул, хураангуйлбаас үг, аяыг хэл, сонсголондоо нутлан ширгээсэн  монгол түмний уран сайхны соёлын сонгодгийн сонгодог нь болон төлөвшсөн юм. Үүнийг жинхэнэ ёсоор нь дуурсган амьлуулагч нь монгол хүний цээл хоолой төдийгүй монгол үндэсний хөгжмийн зэмсэг-гайхамшигт морин хуурын увидас бөлгөө. Түүнчлэн бусад улс үндэстэнд бараг байдаггүй өвөрмөц өнгө дуурьсгалтай язгуур урлагийн төрөл бол монгол хөөмий билээ. Ер нь монголчуудын хөгжмийн үндсэн сэтгэлгээ нь таван эгшигтийн /пентатоника/ хүрээнд багтах бөгөөд байгаль-цаг уур, аж төрөх онцлогоос шалтгаалж, ястнуудын болон нутаг нутгийн хөг хоорондоо ялгагдах онцлогтой байдаг. Мөн эрийн гурван наадам, уран нугаралт, оньст тоглоом зэрэг өнөөгийн дэлхий дахины анхаарал татаж буй үндэсний уламжлалт өвөрмөц олон гайхамшгийг нэрлэж болно.
-Монголчууд дундат зууны үеэс Леонардо да Винчи, Х.Рембрантын зургаас үл дутах гайхамшгийг бүтээсэн уран нүд, билгийн мэлмийтэй ард түмэн.Монголын дүрслэх урлаг Их Монгол улс, Эзэнт гүрний үед Ази, Европын орнуудын урчуудын арга барил, бүтээл туурвилаар баяжин хөгжиж, хожим түүнээс улбаалан даяар дуурсагдах алдрыг олсон байна. Тухайлбал, XVII зууны үед Өндөр гэгээн Занабазарын бүтээсэн Очирдарь бурхан, язгуурын таван бурхан, найман мөнгөн суваргыг өрнө, дорнын соёлт орнууд өндрөөр үнэлэн, дэлхий дахины хэмжээний соёл урлагийн ховор нандин дурсгалд оруулж, бүртгэн хамгаалж байгаа нь монгол хүний дэлхийн урлагийн эрдэнэсийн санд оруулсан бодит хувь нэмэр юм. Францын нэрт урлаг судлаач Нийл Бегин: “Занабазар бол Дорно дахины дүрслэх урлагийн аугаа их мастер” хэмээн үнэлжээ. Өндөр гэгээн эрхий хурууны өндөгний хэртэй эрдэнийн чулуун дээр 16 Найдан (бурхан) сийлж, “Монголын нэг өдөр” зургийг бүтээсэн марзан хэмээх Шарав ерийн чихрийн цаасны хэмжээний цаасан дээр 800 зааныг нүд, хумс, тавхайтай нь амьд мэт дүрслэн зурж, монгол хэл бичгийн сартваахи хэмээх Шагж багш 12 хуудастай “Ногоон дахь эхийн тууж”-ийг сухар сөөм орчим хэмжээний /5-6 см/ хуудсан дээр багтаан хялгасаар бичсэнийг түүх үеийн үед дамжин өгүүлж, тэдний ур дүй, ухаан чадлыг биширнэм.
Чингис хааны үеийн монголчуудын хурд дэлхийн хөгжилд хүчирхэг түлхэц үзүүлснийг зүй ёсоор тэмдэглэх ёстой.
Тэр үеийн монголчуудын хурд эдүгээгийн интернетийн хурдтай зүйрлэхүйц байсан. Их Монгол улс, Эзэнт гүрний үеийн монголчуудын сэтгэлгээний хурд, далайц, монгол хүн, монгол морины хурдыг тухайн үеийн дэлхий дахин гүйцэж чадаагүй юм. Өдгөө ч зарим судлаачид хөгжлийн сурвалжийг XIII-XIV зууны монголын хурдаас хайх хэрэгтэй гэж бичиж байна. Эдүгээ бидний монголчууд хөгжихийн тулд тэрхүү хурдыг шинэ нөхцөлд, шинэ агуулгаар сэргээх нь чухал байна.
Ийнхүү монголчууд дундат зууны үед дэлхийн талыг эзэгнэсэн их гүрнийг үүсгэн байгуулснаараа төдийгүй, хүн төрөлхтний төр ёс, эдийн засаг, соёлын хөгжилд баларшгүй ул мөрөө үлдээж, бахархан дурсах бичгийн болон эд өлгийн соёлыг үлдээснийг дээр дурьдсан баримт сэлт нотолж байна.
Эдүгээ бид дэлхийн цараар сэтгэж, нутгийн хэмжээнд бүтээж байж сая Чингисээр овоглосон Монголоо авч үлдэнэ. Хийхтүн, Бүтээхтүн!  

Эшлэл, зүүлт
1.    Түүхийн судалгаа, сургалт арга зүйн тулгамдсан асуудлууд. УБ.2001
2.    The Cambridge History of China. Allen Regemes and Border States (907-1368), Vol.6, edited by H.Franke and D.Twitehett, Cambridge, 1994, p. 342 Chiefdom хэмээх англи үг. Chief нь толгойлогч, тэргүүлэгч dom нь эзэмшил. Чингэхлээр “толгойлогчийн эзэмшил” гэж орчуулж болох юм. Анх Кембрижийн түүхч, антропологчид энэ нэр томъёог хэрэглэж, Х-ХII зууны Монголын ханлиг аймгуудад төрийн бүрдэл бий болоогүй “Chiefdom”-оос хэтрээгүй гэж үзсэн. Энэхүү илтгэлийг тэрлэгч энэ санааг дэмжингүй талд байгаа боловч 1189 онд Тэмүжин Хамаг Монголын нэгдлийг сэргээснээр төр улсын чамбай бүрдэл бий болгосон гэж үзэж байна.
3.    Монголын нууц товчоо. УБ.1976
4.    Т.Д.Скрынникова. Харизма и власть в эпоху Чингис-хана. М.1997
5.    Ш.Бира. Монголын түүх, соёл, түүх бичлэгийн судалгаа. III. УБ.2001
6.    Н.Багабанди. Шинэ зууны өмнөх бодлого, зөрөлт. УБ.1998
7.    Жек Ведерфорд. Өнөөгийн ертөнцийг үндэслэгч Эзэн Чингис хаан. УБ.2003 он.
8.    Рене Груссет. Чингис хааныхны монголчууд. УБ.2004
9.    Николай Трубецкой. Наследие Чингис хана. АГРАФ. М.2000
10.    Р.П.Храпачевский. Военная держава Чингис хана. М.2005
11.    Ш.Нацагдорж. Хубилай сэцэн. УБ.1998
12.    Morris Rossabi. Khubilai Khan. His Life and Times. University of Calipornia press. Berkeley. Los Angeles. London.
13.    Ким Жон Рэ. Мянган жилийн түүхэн хүн. (Хойч үеийн Чингис хаануудад зориулав) (Улаанбаатар-Сөүл, 1999 он) номноос дам эш татав.
14.    Б.Сумъяа. Монголын нүүдэлчдийн соёл оршихуй, эс оршихуй. УБ, 1998
15.    Б.Я.Владимирцов. Общественный строй монголов. Монгольский кочевой феодализм. Л.1934
16.    Академик В.В.Бартольд. Сочинения. том I. Туркестан в эпоху монгольского нашествия. Издательство восточной литературы. М.1963.

Tuesday, December 25, 2012

Batboldoos

Хүннүгээс өмнөх түүх байсан тэр нь дэндүү гайхамшигтай түүх байсан гэдгийн баталгаа нь Нанхиадын мэргэн сайдын үгэнд байсан учир /Дунхуг мөхөөхийн тулд түүхийг нь мартуул,түүхийг нь мартуулахын тулд бичиг соёлыг нь өөрчил/түүнийг олж мэдэх хүсэл бий болж ухаж эхэлсэн маань өөрийн үндэстний түүхээ улам их сонирхон судлах бас нэгэн шалтгаан болсон юмаа.Тэгээд Тройгийн тулаанд,Ром Грекийн байлдаанд Монгол овог аймгууд бүр 13 р зууны нэрээрээ оролцож байсаныг мөн Скифүүдийн тухай ,цаашлаад Перун бурхан оддын сүмд энх тайвныг тогтоосоноос эхлэн цаг тоололыг тоолж эхэлсэн тухай гэрэлттэг хэсэг хүммүс дэлхийд нууц мэдлэгийг хамгаалан үлдсэн тухай тэдний хойчис нь скифүүд болох тухай луу одны тухай ,луугийн хүүхдүүд дэлхийг захирах тухай,рептилиод наци үүссэн тухай яагаад Европ ,дорно дахинд луутай холбоотой домогууд байдаг тухай ,Алтан ураг яаж үүссэн болон ижил төстэй домогууд Еврейн домог болон Талмудын сургаальд байгааг ,Яагаад Алтан ураг болон Еврей нарыг мөрдөн мөшгих болсон талаар гээд ....маш олон сонирхолтой хоорондоо уялдаа холбоотой байх магадлалтай олон мэдээ баримтыг олж үзсэн.Монголчууд мөнх тэнгэрийг шүтдэг луу одыг тахидаг ,долоон бурхан одоо тахидаг зан үйлийн эхийг бараг гадарласан даа.Монголчуудын хувьд ой санамжаа сэргээх түүхээ судлах явдалыг төрийн бодлогын хэмжээнд тавих хэрэгтэй бөгөөд үндэсний нэгдэлийг бий болгож чадвал Монголын төрд соруудаа зүтгүүлж хөгжил цэцэглэлтийг маш богино хугацаанд авчиран дэлхий нийтийг гацаанаас энэ удаа ч гаргах үүрэг нь энэ л миний ардад заяатай юм даа гэдгийг далд ухамсартай гүнзгий ойлгосон нэгэн болоод түүхтэй холбоотой уншсан судалснаа Монголчуддаа хүргэх зорилготой энэ груупыг нээн ажиллуулж байгаа билээ.Би мэргэжлийн түүх ч биш болохоор мэргэжлийн хэллэг болон этикийн алдаа гаргаж байгаа байхаа гол нь өөрийн мэдэж байгаагаа бусдад хүргэх мэдэхгүйгээ мэдэж авах үнэн сэтгэл агуулж байгааг хүлээн авна уу
 
Batbold Batdelger

Wednesday, November 21, 2012

BBC: Хятадын түүхийг мэдье гэвэл Хубилай хааныг судлах хэрэгтэй

Хүн төрөлхтний түүхэнд дэлхий дахинаа алдаршин үлдсэн түүхийн дурсгалт хэдхэн агуу байгууламж байдгийн нэг нь хятадын их цагаан хэрэм юм.

Энэхүү агуу байгууламжийг чухамдаа ямар шалтгаанаар барьж байгуулсныг сөхөн сийрүүлбээс өөр гаргалгаа ч гарах тал бий.

Энэ тухай “BBC” агентлагийн цахим хуудаснаа томоохон нийтлэл гаргасан байна. Үүнийг эх хэл дээрээ сийрүүлэн уншигч танд хүргэе.

Олон зууны түүхийн тоосонд дарагдсан ч өнөө хэр хүч нөлөөгөө алдалгүй дэлхий дахинд дуурсагдсаар байгаа Монголын эзэнт гүрнийг байгуулсан Чингис хааны удам Хубилай хааны  тухай түүхийн сурвалжит олон бичээс байдгаас сөхөн тэмдэглэж байна.

Дэлхийн талыг эзэлж нэгэн туурган дор тогтоон барьж байсан XIII зууны зэрлэг хэмээх тодотголтой үлдсэн Монголын эзэнт гүрний тухай мэдэхгүй хүн цөөхөн биз ээ.

Тал нутгаас урган гарч дэлхийг байлдан дагуулж чадсан  үндэстэн одоог хэр нь оршин тогтносоор байгаа.

Тэртээ 1227 онд Чингис хаан насан эцэслэсэн. Түүнээс өмнө тэрээр номхон далайгаас европ хүртэл өргөн уудам газар нутгийг эзлэн өөрийн хүүхдүүддээ эзэмшил болгон хуваан өгсөн байдаг.

Түүнээс хойш Тулуйн хүү Хубилай энэ эзэнт гүрнийг өргөжүүлэн, газар нутгийг нь улам тэлж, бадраасан нь түүхэнд тодоор тэмдэглэгдэн үлдсэн байдаг.

Хубилай хаан төрийн эрхийг барих болсноор өвөг эцэг Чингис хаан, Өгөдэй хааны гүйцээж амжаагүй их хэргийг дуусгахаар сэтгэл шулуудан Хятадын Сүн улсыг дайлаар  морджээ.

Улмаар агуу тулалдаануудын дараа Сүн улсыг сөхрүүлэн улсынхаа нийслэлийг байгуулан Бээжин хэмээн нэрлэж байлаа.

Хятадын Сүн улс тэр үед аль хэдийнэ бүрэлдэн тогтоод нэгэн мянган жилийг үдсэн их гүрэн байсан юм. Сүн улс Хубилай хааныг яагаад өөртөө татав. Энэ асуулт түүхчдийн сонирхлыг өдгөөг хүртэл татсаар ирсэн.

Ромын хүчирхэг эзэнт гүрэн хэдэн зууны турш эрхшээлдээ оруулж чадаагүй дэлхийн талыг монголчууд нэгэн жарны дотор эзлэн, улмаар бүхэл бүтэн мянган жил оршсоор ирсэн Сүн улсыг эзлэн түрэмгийлсэн нь санаанд оромгүй хувьсал байсан хэмээн “Хубилай Хаан” номын зохиолч Жон Мен номдоо дурдсан байдаг.

Харин Хонконгийн их сургуулийн түүхч Шун Зоу монголчуудын талаар “Хятад үндэстэн бол өөрийн соёл иргэншлийг бий болгосон. Хятадын түүхтэй дэлхийн соёл иргэншлийн түүх  нягт холбоотой. Энэ түүхийг зэрлэгүүдийн түүхээс ч харж болно. Зэрлэгүүд бол хүн, гэхдээ хятад хүмүүс биш, тэд хүн хэдий ч маш балмад цус нь буцламтгай зэрлэгүүд” хэмээн нэгэн номдоо бурангуйгаар тэмдэглэсэн байдаг.



Түүхийн сурвалжуудад монголчууд…

Хятадын түүхийн эх сурвалжид монголчуудыг зэрлэгүүд, мангасууд хэмээн бичжээ. Энэ нь нэгэн төрлийн амьтан хэмээснээс утга ялгарахгүй гэж хэлж болно.

Мөн түүнчлэн түүхийн сурвалжуудад монгол хүмүүсийн тухайд “Тэд маш өөр царай төрхтэй. Үүнтэйгээ адил асуудлыг шийдэх арга нь ч өөр. Хятадууд тэднийг мэдэж чадаагүй. Юу хийх гэж байгаа, юу санаж сэдэж хэрхэн ямар үйлдэл хийх нь тааварлахын аргагүй” хэмээн бичсэн байна.

Монголчуудын ахуй амьдралын тухайд, тэд бөх барилдаж нааддаг, хоолойгоороо чанга өвөрмөц дуугардаг /хөөмийлөх/ маш удаан тасралтгүйгээр нэг өнгөөс нөгөө өнгөнд шилжин дуулдаг. Түүнчлэн хүчиллэг исгэлэн ундаа ууж, их хэмжээгээр мах иддэг гэжээ.

Харин Хятад хүмүүс бол тэднээс хамаагүй өөр бөгөөд нийгмийн ялгаатай язгууртан, ажилчин, ядуус хэмээн ялгардаг цогц нийгэмтэй. Хятадууд гоёмсог торго дурдангаар хувцас хэрэглэлээ хийдэг. Бие биеэ хүндэтгэн урлаг хэмээн ойлголтыг эртнээс бий болгож чадсан хэмээн өгүүлсэн байна. Ингэж түүхийн эх сурвалжуудад хятад, монгол хүмүүсийн хоорондын ялгааг өгүүлсэн ном товхимлууд олон бий.

Сүн улсын хувьд 70 сая хүн амтай, түүнээс дайчлан татсан асар хүчирхэг армитай байсан. Энэ нь Хубилай хааны монгол баатруудаас тоо, хүч чадлаараа бараг 100 дахин их хүчтэй байсан. Гэвч тэд монголчуудад нэлээд хэдэн тулаанд ялагдаж, тэдний сүүдэр дор орсон юм. Монголчуудын цэргийн нууц нь байлдааны өвөрмөц тактик байлаа.

Монголын эзэнт гүрэн

Хубилай хаан зүүн европ руу хийсэн хан хөвгүүдийн аян дайнд ашигласан чавхан бууг Сүн улсын эсрэг дайнд оновчтой ашиглаж чадсан юм. Тэрээр персээс тэр үеийн цэргийн инженерүүд гэж болох дархчуулыг урин авчирч чавхан буунууд хийлгэсэн аж.

Тэдгээр буунууд нь 100 кг-ийн хүнд чулууг 200-300 метрийн тусгалтайгаар шидэх чадалтай бүтээгдсэн байна.

Мөн агаарт нисэх замдаа олон хэсэг бутарч үхлийн зэвсэг болж хувирах шаварт багцалсан сумны зэв бүхий бөмбөлгүүдийг ч бүтээлгэжээ.

Түүнийг шидсэний дараа их тоос манан татаж орчныг харах хязгаар багасдаг бөгөөд Сүн улсын багширсан их цэргүүдэд жигд хүртээмжтэй тусдаг байж.

Шавар нь тоос манан татуулж, харин багцалсан сумны зэв бүгд шахуу хятадын цэргүүдийн биед зоогддог байсан.

Энэ зэвсгийн эсрэг Сүн улсын жанжид цэргээ тархай байрлалд байруулах шаардлагатай байсан ч монгол морьтон баатрууд ийм завдал өгөлгүй тоос манан дундуур дайснаа бүслэн авч тал талаас нь дайрч хиар цохидог байлаа. Ийм тулааны аргаар Хятадын урд мужуудыг ядах юмгүй эзлэн авсан аж.

Тэдгээр эзлэгдсэн газруудад өнөөгийн Хятадын урд нутгийн Юнань, Вьетнам, Бирм, Шинжан зэрэг төв азийн орнууд ч орж  байжээ. Мэдээж хэрэг тэдний дунд өнөөгийн түвд ч мөн орж байв. Эдгээр гайхалтай дайн байлдааны овжин арга, тактикуудыг ашиглан монголчууд асар их бүрэлдэхүүн бүхий цэргийн хүчтэй Сүн улсын армийг дайнд ядах юмгүй ялжээ.



Хубилай хааны ордон

Монголчуудын түүхийг илүү танин мэдэхэд багагүй үүрэг гүйцэтгэсэн хүн бол Италийн худалдаачин Марко Поло. Тэрээр Их хаантай нэлээд ойр дотно танилцаж чадсан гадны цөөхөн хүмүүсийн нэг юм.

Марко Поло Хубилай хааны ордонг тодорхойлохдоо, түүний сууц үл ялиг намхан, таван гарцтай, хаан өөрөө зүүн дээд хэсэгт нь суудаг байсан. Түүний өөрийн орж гардаг хаалга нь сууцны голд байрлах бөгөөд бусад үед тэр хаалгаар хэн ч орж гадраггүй байсан хэмээн тэмдэглэжээ. Ийм төрлийн сууцыг өмнө нь хаана ч харж байгаагүй.

Дээвэр хэсэг нь өндөр бөгөөд саруулхан. Хана нь алт мөнгөөр бүрсэн, эрдэнийн чулуу шигтгэсэн байсан. Дотроо маш саруулхан бөгөөд нэг дор зургаан мянган хүн зэрэг орох багтаамжтай сүрлэг ордон байжээ. Харин шал нь тод улаан шар өнгөтэй, зарим хэсгээрээ ногоон, хөх өнгийн хээтэй гялалзан харагддаг байсан аж. Энэ нь урьд өмнө ямар ч хааны сууж байгаагүй тансаг харш байсан. Үүнээс үзэхэд Хубилай хаан суурин соёлыг шохоорхон эрхэмлэж байсан нь илэрхий хэмээн бичсэн байна.

Өнөөгийн хөгжлийн өндөр төвшинд хүрсэн Бээжин хотыг бий болгосон хүнээр Хубилай хааныг нэрлэдэг. Сүн улсыг мөхөөж өөрийн байгуулсан Юань гүрнийг эзлэн авсан Хятадын нутагт суурьшуулснаар өнөөгийн хятадын суурь тавигдсан юм. Харин үүнийг Хятадууд хожмоо эзлэн сууж өөрсдийн бүтээн босгосон хот, соёл иргэншил хэмээн нэрлэсэн байдаг.

Харин өнөөдөр бол монголчуудын ихэнх хэсэг Хятадын 56 дахь бага ястан болжээ. Түвд, уйгаруудын нэгэн адил. Хятадуудын уламжлал, тэдний түүх нэгэнтээ салахын аргагүй холбогдсон байна. “Зэрлэгүүд Хятадын өв уламжлал, ёс заншлаас суралцан тэдний дотор оршсоор иржээ” хэмээн зохиолч түүхч Шун Зоу бичжээ.



Хубилай хааны цадиг судлаач Жон Мен Хятадын тухай

Эрт цагийн монголчууд хувцсаа зөвхөн бүсээр бүслэж тогтоон өмсөж эдэлдэг байсан. Харин Юань гүрний үед энгэрийн товч хэмээх ойлголт бий болсон аж. Энэ нь өнөөгийн Хятадын үндэсний хувцас болон уламжлан ирсэн байна. Нэг үгээр хэлбэл түүхийн урт хугацааны туршид энэхүү хувцсыг Хятадууд өөриймшүүлэн авч хоцорчээ гэсэн үг.

XV-XVI зууны буюу шинэ үеийн Мин улсын үед дээрх хувцас, өв уламжлал бүхэлдээ хятаджин эргэн ирсэн байна.Түүнчлэн хооллох соёл ч хятаджин, олон нэр төрөл болон хөгжжээ. Үүний нэгэн тод жишээ бол цайны данх юм.

Цай бол Хятадын соёлын чухалд тооцогдох нэгэн зүйл. Энэ нь нэгдмэл байдлыг илэрхийлдэг.

Мин улсын өмнөх үед Хятадад цайны данх гэсэн ойлголт байгаагүй. Харин эдгээр соёлуудыг Хятадууд овжноор ашиглан өөрт нийцүүлэн өөрийн соёл болгон шингээж чадсан гэж үзэж байна.

Таван зуухан жилийн өмнө гэхэд хятадууд унадаг дугуй гэгч энэ зүйлийг тоож ч авч хэлэлцэж байгаагүй. Унадаг дугуйг “гадаад чөтгөр”-үүдийн унаа хэмээдэг байсан нь түүхэнд бичигдэн үлдсэн байна.

Харин унадаг дугуйг нийтийн хэрэглээ болгон бүх нийтээрээ унаж хэрэглэхэд тухайн үеийн гадаад дотоод явж юм үзэж нүд тайлсан жентельмэн хятадууд нөлөөлсөн хэрэг.

Мөн дахин нэгэн баримт сөхвөл өнөөгийн  хөгжлийн оргил болоод буй Хятадууд 50 жилийн өмнө л европ хоолнуудыг амталж эхэлжээ.Энэ нь цаг үеийн хатуу чанд бодлого нийгмийн амьдралтай нь холбоотойнх биз.

1949 оноос Япончуудтай газар нутгийн маргаан дэгдээж, дээрээс нь Тайваньчууд газар нутаг тусгаарлах болсноор гадаад улсуудтай дугтралцах болсон аж. Харин энэ бүх таагүй байдал 1980-аад оноос намжиж Хятадаас гадаад оронд ирэх хүмүүсийн тоо нэмэгдэж тэр хэрээрээ барууны соёлтой танилцаж эхэлсэн түүхтэй.

Дэлхий дахинд алдартай түргэн хоолны сүлжээ МакДональдс ресторан энэ улсад 20 жилийн өмнөөс танигдаж эхэлсэн.

Өнөөдрийн байдлаар Хятадад МакДональдсийн 14 мянган цэг ажиллаж байгаа.Үүнтэй адил гадаад орнуудын бараа бүтээгдэхүүнүүд ч  саяхны танилууд билээ. Япон, Герман машин, цахилгаан бараа европ хувцас эдлэл хэрэглэл зэргийг нэрлэж болохоор байна.



Христофер Колумб Хятадыг зорьсны учир

Хэрэв Хубилай хаан байгаагүй бол тухайн үеийн Хятадууд XIX зуун хүрэх байсан эсэх нь эргэлзээтэй. Их хаан түүхэнд алдаршин нэрээ үлдээж чадсан учраас л түүнийг судлахаар орон орны түүхчид бүхэл бүтэн  эрдэм шинжилгээний баг бүрдүүлэн ажиллаж байна.

Марко Пологийн тэмдэглэн үлдээсэн  түүхийн сурвалжуудад үндэслэн европчууд Азийг тэр дундаа хятадын соёл иргэншлийг судлахаар хүлгийн жолоо залж байсан. Тиймээс ч Христофер Колумб хятадыг судлахаар яваад эргэн ирэх  замдаа Америкийг  нээсэн хэмээдэг. Үүнээс үзэхэд дэлхийн түүх тал газрын Монголчуудыг судлахаас эхлэлтэй ч гэж хэлж болно хэмээн Жон Мен номдоо тодорхой өгүүлжээ.

Хубилай хаан өөрийн төсөөлж байсан мөрөөдлийн гүрнээ байгуулж чадсан. Гэвч тэрээр арлын японыг л эзэлж чадаагүй. Олон  тооны хөлөг онгоц бүтээж арлыг эзлэхээр дайлаар мордсон боловч далайн хар салхинд цохигдон энэ үйлдэл нь бүтэлгүйтсэн билээ.

Энэ бүх  байлдан дагууллын эцэст тэр өтөлж, тамир тэнхээ сульдан өвчилсөн юм. Түүний хаан ширээг залгамжлах хүү нь нас барж, мөн  хайрт хатан нь өөд болсноос хойш төдий л удалгүй 1294 онд Их хаан өөрөө хорвоогийн мөнх бусыг үзүүлсэн юм. Харин түүний цогцлоон байгуулсан эзэнт гүрний нэр сүр, түүх өнөөг хэр мөнх оршсоор байгаа билээ.

Их хааны насан эцэслэснээс хойш 80 жилийн дараа Юань гүрэнд дотоодын зөрчил, бослого самуунд автан нуран унах суурь нь тавигдаж эхэлжээ. Хятадын түүхийг бүрэн мэдэхийн тулд Хубилай хааныг бүрэн судлах ёстой хэмээн “Хубилай хаан” номын зохиолч түүх судлаач Жон Мен өгүүлжээ…

Орчуулсан Э.Мөнхнасан

Thursday, November 1, 2012

Бүрхэг шөнийн тэргэл санар

Монгол хэл, бичиг соёл бага, дунд, дээд боловсрол олж буй хүн бүхэн Д.Нацагдоржоор хэсэг хэсэг өвчилдөг. Анх Цагаан толгой үзэж төгссөний дараа ”Хэнз хурга”, дунд сургуульд ”Миний нутаг” шүлгээр, их сургуулийн нэгдүгээр курст ”Харанхуй хад” өгүүлэгийн задаргаагаар... гэхчлэн. Ганцхан бид ч тэгдэг юм биш юм билээ. Нэг өвөр монгол найз минь хэлж байсан юм. “Өмнө зүгийн манлай болсон элсэн манхан далайнууд... гэж миний төрсөн Тэнгэр элс, миний цөлөгдсөн Бадайн жаран элсийг хэлж байгаа, багтаж байгаа гэж боддог. Бахардаж сөхрөөд энэ шүлгийг уншихад булчин шөрмөс минь чангарч, зориг зүрх минь эрчлэгдэж байсан. Энэ шүлгийн ид шидээр соёлын хувьсгал, шорон, цөллөгийг давж гарсан...” гэж. Ер бусын дотоод эрч хүч, хайр нигүүлсэл, түүх гэрэлтсэн шүлэг. Найрагчийн ийм их хайрыг ямар азтай бүсгүй амссан бол гэх бодол аандаа төрнө. Социалист реализмийг цогтой хамгаалагч уран зохиол судлаачид их найрагчийн гэргий Дамдины Пагмадулам руу өнөөг хүртэл шороо цацсаар байгааг гайхавч барагдахгүй. Ямар их үзэн ядалт, үгүйсгэл, үл тоомсорлол вэ л гэж халагламаар.

Д.Нацагдорж, Д.Пагмадулам

Яг л ийм л учирлалаар найруулагч Баатарын Бадрал Пахгмадуламын тухай киногоо ”Бүрхэг шөнийн тэргэл саран” хэмээн нэрийдсэн биз. Тэдний амьдралын хөшигний цаадхи бүх нууцыг дэлбэ татан харвал энхрий охин, эхнэрийнхээ төлөө өөрийгөө зольж, өөрийнхөөрөө дуусч байгаа эр хүний дүр зураг харагддаг. Гай зовлон ганц түүгээр дуусалгүй эхнэр Пагмадуламыг нь харанхуй руу шидэж, төрсөн охин нь эцэг эхээрээ овоглож, тэднээрээ хайрлуулж, толгойг нь түшиж чадалгүй, айж хулмаганаж, судлаач нэртэй туршуулуудад ээрүүлж өнгөрсөн амьдрал... Ёстой нөгөө ”Тунгалаг тамир” кинонд “Хорвоо чи хүний зовлонд цадах болоогүй юу” гэж халаглан харуусч дуун алддаг шиг. БҮРХЭГ ШӨНӨ л дөө. Сар ч үгүй, од ч үгүй яг ийм л шөнө байсан байх...


Пагмадуламын намтар дахь үнэн ба худлуудыг хооронд нь хэрэлдүүлье.

Худал: Нацагдорж орос эхнэртэй, орос охинтой байсан... (Ю.Цэдэнбал нарын зэрэг орос эхнэртэй зүтгэлтнүүдийг нийгэмд эерэг харагдуулах хуучин цагийн пиарчид. Тэр бүү хэл түүний орос охиныг Монголд авчирсан болоод түүний нэрээр бизнес хийсэн, музейг нь устгаж, архивийг нь самарсан шинэ цагийн пиарчдын л ажил. Ийм увайгүй өчүүхэн шалтгаан гэж бас байдаг аа)

Үнэн: Нацагдорж Пагмадуламтай 1922 онд гэрлэсэн. Охин Цэрэндулам нь 1923 онд мэндэлсэн. Охиныг Пагмадуламын аав Муж Дамдин өргөж авч өсгөсөн. (Энэ хүн мужаан биш язгууртан. Богд хаант Монгол улсын тайж. Муж хэмээх нь түүний овог бөгөөд Эзэн Чингисийн өрлөг жанжин Боорчийн шууд угсааны хүн)

Худал: Пагмадулам хар тамхичин байсан. Ясчин хятадтай суусан... (Бас л өнөөх соцреалистуудын ажил. Ингэж бичсэнийх нь төлөө тэднийг монголын бүх эмэгтэйчүүд эхчүүдийг гутаан доромжилсон ч гэж ялласан ч болно)

Үнэн:
Монголын анхны эмэгтэй парламентч. Энэ хуралд Ардын хувьсгалын анхны долоогоос Х.Чойбалсангаас өөр хэн ч сонгогдоогүй гэж бодоход шүү. Манж, хятад, орос, герман хэлтэй. Анх баруунд боловсрол эзэмшиж, Германд суралцсан. Шүлэг бичдэг байсныг нь ч бид нарийн тодорхой мэдэхгүй, бүтээлүүдээс нь үлдэж хоцорсон нь үгүй. Монголын эмэгтэйчүүдийн байгууллагын анхны дарга. Шинэ үндсэн хууль батлалцсан хүнээ ингэж гутааж, муучилж, доромжилж, мартаж манантуулахын учир шалтгаан чухам юунд байсан юм бол? Ингэж болдог шинжлэх ухаан, ийм суртал ёстой нам, засаг, тийм хүндлэлгүй эмэгтэйчүүдийн байгууллага чухам Монголд л байдаг байх. Түүнд зүүсэн шошго “Монгол хүнийг гутаан доромжилж болох хятад хүнтэй суусан монгол хүүхэн” гэх хоч. Харин цаг хугацааны өнөөгийн өндөрлөгөөс дүгнэвэл, зорилго нь аргаа зөвтгөхийн учир хятад байтугай чөтгөртэй суусан ч цагаатгаж болох цаг үе байсныг огоорч боломгүй. Өнөөг хүртэл бид ийм л ойлголттойгоор нүдээ боолгож, чихээ бөглүүлж, хэд хэчнээн ч он жилийг өнгөрөөв. Эвлэрэв. Хүлээв.

Зүүн гараас Д.Пагмадулам, Д.Цэрэндулам, Балжид. 1925

Нэхэн саная. Цаг хугацааны хүчин зүйлтэй нь харгалзуулан дүгнэя. Мөрдлөгө хавчлага, үргэлжийн айдас түгшүүр, тэгээд шорон гяндан... Үртэй хүний гол долоо тасардаг гэдэг дээ. Үр удмаа эсэн мэнд авч үлдэхийн тулд China town-д очиж, хятадтай суусан дүр үзүүлэн, хар тамхи татдаг гэх цуу тарааж, гай зовлон охиноос нь, үрээс нь л холуур өнгөрч байвал юу ч хийхэд бэлэн явсан эх хүний л үйлдлүүд... Пагмадуламын амьдралыг товчхондоо ингээд л дүгнэчихэж болно. Тэр хүн хэзээ, хэрхэн энэ орчлонгоос явсныг хэн ч мэдэхгүй, тэр бүү хэл төрсөн охин нь ч мэддэг гэхэд эргэлзээтэй гэж болохоор.

Гэхдээ л бидний дунд тэр өдрүүдийнх нь тухай багагүй мэдэх түүхэн гэрч үлджээ. Өдгөө 94 настай Ёндонжамцын Цэрэндулам гуай цэргийн ансамблийн бүжигчин, гавъяат жүжигчин. Энэ настан буурал олон жил сэтгэлдээ хадааж явсан үнэн мөнийг Б.Бадрал найруулагчийн кинонд анх удаа хүрнэлээ. Урьд өмнө хаана ч дурсагдаагүй баримтыг дэлгэлээ гээд шагнал, зэмлэлийн аль нь ч ирсэн гэсэн уран бүтээлчид баярлах гомдох нь юу л бол. Нуугдаж, зугтаж, айж, бэтгэрч, ганцаардсан эгэл жирийн монгол эмэгтэйн тухай гунигтаэй дурсамж киноны хамгийн оргил болоод гол тайлал нь болжээ.

Зүүн гараас Д.Пагмадулам, Гэсэржав, орос хэмээх Долгор


Хэлмэгдсэн хүмүүсийг цагаатгалаа, үр хүүхдэд нь төгрөг мөнгө өглөө л гэнэ. Хэдэн халтар төгрөг тэртээх жилүүдийн бүрхэг шөнүүдийн зовлон, шаналалыг тайтгаруулж чадна гэж үү? Цаг наашилж, цас битүүрчихээд байхад нэр төрийг нь сэргээх, үнэн мөнийг нь тогтоохоос зайлсхийж, таг дуугүй, хэлмэгдүүлсэн гайтуулсан хэвээр сууна гэдэг л жинхэнэ гэмт хэрэг санагдана.

Төрийн хошой шагналт Д.Намдаг агсан доктор Ч.Билигсайхан багшид хэлж байжээ. “Бид чинь энэ тухай ярихгүй гээд нэг юман дээр гарын үсэг зураад гараад ирсэн хүмүүс шүү дээ” гэж. Тэгээд, ямар ч асуултанд нь хариулаагүйг бодоход... Тэр чухам ямар бичиг байв?

Пагмадуламыг бид энэ чигээр нь мартчихжээ гээд төсөөлье л дөө. Нацагдоржийн шүлгүүдийн өнөөх эрчимлэг, энэрэнгүй, түүхэн шинжүүд нь хэвээрээ байх л байх. Гэхдээ л түүний амьдралын түүхийг үнэнээр нь мэдсэн хүний өрөвдөх, гэмших, хайрлах давхар давхар мэдрэмжүүдээр дутах нь гарцаагүй. Үүнийг л авъяаслаг найруулагч Б.Бадрал ТЭРГЭЛ САРГҮЙ БҮРХЭГ ШӨНӨ болгож харсан байх юм.

Зүүн гараас Д.Цэнд-Аюуш, З.Гүндсамбуу (Магсар хурцын гэргий), Д.Пагмадулам

Үнэмшил, өөриймшил хоёроор хомсдсон өнөө үеийнхэнд хандахад, залруулаагүй алдаа л жинхэнэ алдаа гэдэг биш үү. Бид Пагмадулам, Цэрэндулам нарыг цагаатгая. Тал бүрт тарсан энэ гэр бүлийнхнийг түүхэнд нэг гэрт оруулж, элэг бүтэн болгоё. Нацагдоржийнх гэдэг жирийн сайхан айл, Монголын шинэ үеийн сэхээтэн гэр бүл, эрдэмт, билэг төгөлдөр гэргий, зохиолч, яруу найрагч эмэгтэйн тухай үнэн сайхан дурсамжийг хойч үеийнхэндээ үлдээе.

Б.Бадрал найруулагчийн “орчин үеийн домог”-ууд цаашаа үргэлжилнэ гэнэ лээ. Тэртээ 10-аад жилийн өмнө хийгдэж байсан түүний хурц дайчин, баталгаа нотолгоогоор сэхээсэн, үйл явдлын хурц өрнөлтэй жинхэнэ орчин үеийн баримтат киноны цувралуудыг үзэгчид санаж байгаа нь лавтай. Очирбатын Дашбалбар агсныг амьд мэт хийсэн “Шамбалд бичсэн захидал” (2007) кино нь хэдэн жил баримтат киночдийн “аман домог” байлаа. МУИС-ийн бүтээгдхүүн, монгол утга соёл, түүхийн жимээр хөтлөн түүчээлэгч хүрээ хөвгүүн Б.Бадрал, Г.Ням-Очир нар Пагмадуламыг эрж хайгаад, энэ бүтээлээрээ гарцаагүй олжээ.

Бидний амьдрал, түүхэнд дахин ийм “Бүрхэг шөнийн тэргэл саран” бүү тохиолдог, бүсгүйчүүд, хүмүүс бүү хагацан салаг, бүү шаналан тарчлаг гэж залбиръя. Бусдыг нь та Шинжлэх ухааны хялбаршуулсан баримтат кино бүтээгчдийн нийгэмлэгийнхний хийсэн энэ киног дахин үзсэнийхээ дараа дотроо тунгаан бодоорой гэж хэлэх байна. Youtube-ийн энэ холбоос дээр бүтнээрээ байгаа.

Д.ЭНХБОЛДБААТА

Дагуур Монголын Жамцын хүү буюу “Оодогийн чоно”

Дагуур Жамц Хорлоогийнд орж суув

Цаг цагийн аяст сайн, муу янз бүрээр дуудуулж явсан Монгол Улсын Ерөнхий сайд, маршал Х.Чойбалсангийн хувийн амьдралын нэгээхэн хэсгийг уншигч олондоо хүргэе гэж бодлоо. Түүхийн хуудсыг сөхөн үзвэл, Чойбалсангийн эх Хорлоо нь хоёр хүү, хоёр охин төрүүлжээ. Хамгийн том хүү Шарав нь 1885 онд төрөөд 1946 онд Улаанбаатар хотноо нас нөгчжээ. Түүний дараа нь охин Нэрэн нь 1887 онд төрөөд 1944 онд хотод нас баржээ.

Тэднийг нийслэлийн Улиастайн амны адагт  хөдөөлүүлж чулуун хөшөө босгожээ. Гурав дахь хүүхэд нь нялхдаа эндсэн байна. Харин дөрөв дэх отгон хүү нь аргын тооллын 1885 онд буюу, билгийн тооллын 15 дугаар жарны хөхөгчин хонин жил Хэрлэн цагаан талбай хэмээх газар төрсөн нь Чойбалсан ажээ. Маршал Чойбалсанг эцэггүй бутач хүүхэд байсан гэж ярьцгаадаг.

Гэвч түүний эцэг нь хэн болох нь нэгэнт тогтоогджээ. Энэ талаар түүхийн ухааны доктор Бат-Очир судалгааны бүтээлдээ: "Нутгийн хүмүүсийн яриагаар бол Чойбалсанг төрөхөөс хэдэн жилийн өмнө Манжуур дахь Дагуур Монголын газраас Суврагачин отогт цагаачилж ирсэн Жамц гэдэг хүн Хорлоогийнд орж суусан байжээ.
Гэтэл отгийнхон болон хошуу тамгын захиргаа "цагаачин, тэнүүлчин оргонов" хэмээн түүнийг хавчиж гадуурхан нутаг уснаасаа үнэн хэрэгтээ хөөж явуулжээ. Жамц арга буюу тэндээс буруулан зайлж өөрийн тахиж шүтдэг Турагтай уулнаа очиж ан гөрөө хийж ганц биеэр амьдрах болсон байна.


Чойбалсан: Бодоход миний эцэг тэр биз
Гэвч Хорлоо сэтгэл төвдсөнгүй Жамцын хойноос Турагтай уулыг зорин нүүж очоод хоёул нийлэн амьдарсан гэдэг. Удалгүй эв эвдэрч эцэстээ салж сарнихад хүрсэн гэнэ. Чингэхэд Жамц хэлжээ: "Чи хүүхэд олж жирэмсэн болов бололтой. Яг энэ буурин дээр бие шалтгаантай болсон хэрэг. Чиний төрүүлэх үр энэ уулын өлгийд, эхийн хэвлийд олдов.

Чингэхээр эх үр бид гурвын төлөө энд даатгал хийж, шав бумба байгуулъя" хэмээн таван өнгийн том чулуугаар тэмдэг тавьж газрыг сайтар тогтоож нүдэлсэн аж. Хоёр тийшээ салахдаа Хорлоо "Үүнээс хойш чамтай дахин уулзахгүй, царай царайгаа харахгүй" гэж андгай тангараг тавьсан гэдэг юм. Хорлоо отогтоо буцаж ирээд үрээ төрүүлж нярайлахын цагт тулах түших ханьгүй их ядарч зовжээ. Нөгөө Жамц эл учрыг дуулаад нэг өдөр гэнэт хүрээд иржээ.


Хорлоог өргөж тойлж, асарч энэрч байж хүүгээ амар мэнд торүүлжээ" гэжээ. Чойбалсангийн эцэг Жамц хүүдээ Дугар гэж нэр хайрлахыг ламаас хүсэхэд тэрээр зөвшөөрчээ. Жамц ч яваад өгч, тэд дахиад уулзаагүй байна. Тэр үед нутгийнхны нь дунд нэгэн дуу гарчээ.
Дагуур гаралтай Жамц нь
Дагуулах ханьтай болсон шүү
Даамай амраг Хорлоо минь
Дассан сэтгэлээ татна уу даа
гэсэн дууны бадаг байдаг нь олон түмэнд түгэн үлджээ. Дээрх шүлэгт "Сэтгэлээ татах болсон шүү" гэдгийг шүлгийн толгой холбох ёсыг бодож "Дассан сэтгэлээ татна уу даа" гэж өөрчилснийг өршөөнө үү.

Хорлоо Жамцын хойноос байнга сэмхэн санаа тавьж түүнийг нас барсан, хаана хөдөөлүүлснийг мэдэж авчээ. Тэрээр нэгэн удаа Хонхор хужир гэдэг газар хүү Дугарыг (Чойбалсан) дагуулан очоод хүүгээрээ хадаг дэвсүүлж, зул асаан, арц уугиулан, уйлан хайлж байжээ. Гэвч энэ явдлыг эх нь хүүдээ хэлж тайлбарлаагүйгээс Чойбалсан өөрийгөө бутач гэж бодсоор иржээ. Чойбалсан бүр сүүлд 1942 онд бичсэн өөрийн намтартаа:

"...Би эцгийг мэдэхгүй бөгөөд таних ч үгүй болой. Орос ёсоор хэлбэл би эмэгтэй ард Хорлоогоос хууль бусаар төрсөн хүү мөн болой. Миний эх бол өөрийн ах дүү хоёрын хамт амьдарч, ханилсан эргүй, миний бие ба мөн бас гурван хүүхдийн хамт байсан нь миний санаанд байна" гэж бичжээ. Тэрээр "Миний эх намайг бөгөөд нэгэн хүүрийн газар хүндэтгэл хийлгэж өөрөө их уйлж байсан билээ. Бодоход миний эцэг тэр биз ээ" гэж гэргий Гүндэгмаадаа хожим ярьж байсан гэдэг.

Хорлоо хүүгээ эх барьж авсан эмгэн Дэлгэрэхэд түр үрчлүүлж гурван нас хүрмэгц буцаан авч, төрсөн дүү Нэрэндээ өргүүлжээ. Дугарыг долоон настайгаас нь түвд ном үзүүлж, есөн настайд нь нийслэл хүрээнээ дагуулан ирж Богдод мөргүүлсэн байна. Чойбалсан багадаа их дүрсгүй хүүхэд байсан тул "Оодогийн чоно" хэмээх хочтой явжээ. Тэрээр хийдэд хүргэгдэж, тэнд сахил санваар хүртэж Чойбалсан нэртэй болжээ.

Цэл залуу Чойбалсан хүрээний ганган хүүхнүүдийн нэг Бортолгойтой танилцан гэр бүл болжээ. Хууччуудийн ярианаас сонсоход Чойбалсан нь Бортолгойгийн гэрт орж суужээ. Бортолгой нь одоогийн Төв аймгийн нутагт хөдөө аж төрж байгаад хүрээнд ирж суурьшсан байна. Тэрээр үйлд сайн, угийн уран хүн тул Богд гэгээний оёдолчны ажилд орж муугүй амьдарч байжээ.

Бортолгой нь өөрөө их шүтлэгтэй хүн байсан тул гэрийнхээ ханаар дүүрэн бурхан ном ордог байжээ. Чойбалсангийн өөрийн бичсэнээр "Бид хоёр халуун эв найрамдалтайгаар хоёр биед хань нөхөр бололцон амьдарч хүмүүжиж явсан нь үнэхээр учир ялгавартай холбоо бүхий байсан" гэжээ.
Чойбалсан 1929 оны нэгдүгээр сард, хувийн хашаа байшингаа намын санд сайн дураар хандивлан, түүний шан харамжаар бусдад тавьсан өрөө төлж, өөрөө албаны орон сууцанд суух тухай Намын төв хороонд өргөдөл гаргаж байжээ.

Энэ үед Чойбалсан жүжигчин хүүхэн Дэвээтэй эр эмийн холбоотой болжээ. Тэр үед Чойбалсан нам төрийн өндөр алба, хашаагүй сэтгэл санааны гутралтай, хааяа ууж татахаас ч буцахгүй хэцүү үе байсан гэдэг. Дээрх явдлыг эхнэр Бортолгой мэдсэн ч Чойбалсан хаяж орхиж явсангүй. Чойбалсан хэдийгээр болохгүй бүтэхгүй явдал гаргасан ч Бортолгойн үгнээс гаждаггүй байсан аж.


Дулам даханг зүгээр өнгөрөөжээ
"Шинэ эргэлтийн бодлого" ид эрчээ авч байсан 1935 онд Еронхий сайд Гэндэнгийн санал, Сталины зөвшөөрснөөр Ерөнхий сайдын нэгдүгээр орлогчоор томилогдсоны дараа Бортолгойгоос албан ёсоор салж, өрх тусгаарлажээ. Гэр бүл салахыг Чойбалсан биш. Бортолгой өөрөө санаачилсан гэдэг юм. Бортолгой хэлэхдээ "Чойбалсан чи бол нам төрийн өндөр хариуцлагатай албан үүрэг хүлээсэн хүн.

Над мэтийн шашин мөргөлд автагдсан энгийн дорд хүүхнээр юу хийх вэ? Үзэл бодол таарахгүй бол чи бид хоёрын хэн хэнд нь сэтгэлийн зовлон, Би чамайг нэг жирийн сайн эмэгтэйтэй танилцуулъя" хэмээн Б.Гүндэгмаатай танилцуулсан гэдэг. 1935 оны дөрөвдүгээр сарын 29-нд Улаанбаатар хотын дөрөвдүгээр хорооны тавдугаар хорины захиргаанд гаргасан Чойбалсангийн гурван өргөдөл одоо улсын архивт байна.

Тэрхүү өргөдөлд "эр эм болж 14 жилийн нүүр үзсэн Бортолгойгоос бүр мөсөн тусгаарлан салж, аж төрөхөөр санал нийлэлцсэн" гэсэн байна. Чойбалсан өргөдөлдөө "Миний бие чадал бүхий цагт түүний биеийг (Бортолгойг) хэзээ ч харж харгалзах ба тусалж тэтгэж явахаа" илэрхийлсэн байна Чойбалсан хэдийгээр өрх тусгаарласан ч Бортолгойг насан эцэс болтол ямагт харж хандаж явжээ.


Чойбалсан салахдаа, өөрийн өмссөн хувцас, бичиг дэвтэр буу зэвсгээ аваад, үлдсэн хашаа байшин, бүрэн тавилгатай гэр, бусад хогшил хөрөнгийг Бортолгойд өгчээ. Үүний зэрэгцээ хот хөдөө явахад буюу өөрийн хөрөнгө болгож эдэлж хэрэглэхэд нь зориулж "ГАЗ" маркийн суудлын машин нэгийг өмч болгон өгсөн байна. Энэ бүхнийг нотлох баримтыг гурван хувь болгосны нэгийг Улаанбаатар хотын захиргаанд явуулж хадгалуулжээ.


Бортолгойд “Газ” маркийг машин хувьд нь өгчээ
Бортолгой одоогийн "Ногоон нуур"-ын баруун өмнө Хятадын долоо, найман гудамжны хавьд суудаг байжээ. Чойбалсан Бортолгойг байнга эргэж бэлэг сэлттэй очихын зэрэгцээ өөрийн бие хамгаалагч болон таних хүмүүсээр дамжуулан "Маршалын хувийн фонд"-оос эд мөнгө явуулдаг байжээ. Бортолгой нь Чойбалсангаас салаад Дулам Дахан хэмээх түвд хүнтэй ханилсан байна.

Хэлмэгдүүлэлтийн үед Монголд байсан түвдүүд бараг бүгд баригдахад Дулам Дахан зүгээр өнгөрсөн гэдэг. Мөн Бортолгойг өвдөөд бие нь муу байхад Эрхүү хотоос тусгай эмч дуудуулан үзүүлж байжээ. Чойбалсан хуучин эхнэрийнхээ танилцуулсан Гүндэгмаатай 1935 онд гэр бүл болсон байна. Гүндэгмаатай гэр бүл болсны дараа түүний ажил амьдрал нь их л өөдрөг явж иржээ.

1935 онд БНМАУ- ын Ерөнхий сайдын нэгдүгээр орлогч сайдаар томилогдсоны дараа Улсын маршал (өрлөг жанжин) цолоор шагнагдаж, 1936 онд шинээр байгуулагдсан Дотоод явдлын яамны сайд, мөн Гадаад явдлын яамны сайд, 1937 онд Цэргийн яамны сайд, Бүх цэргийн жанжин, 1939 онд БНМАУ-ын сайд нарийн зөвлөлийн Ерөнхий сайдаар томилогджээ. Чойбалсан сууж байсан болон хоёр дахь эхнэрийн алинаас нь ч өөрийн гэх хүүхэдгүй байв. Харин Гүндэгмаатай суугаад хүү Нэргүй, дараа нь охин Сувдыг өргөж авсан байна.



Ханд: Маршал шар будаатай хярамцаг хүртэл иддэг байсан
Чойбалсан орос, монгол тогоочтой тэднээр бүхэл мах чануулж идэх дуртай, хутга барихдаа солгой, шампани дарс уудаг байжээ. Маршалын байрны цэвэрлэгч Ханд өгүүлэхдээ: "Тэрээр хөдөлж аяглахаас эхлээд хоол унд нь хүртэл жирийн биднийхтэй адил их энгийн ер нь хуучны хүн. Сүүтэй цайнд дуртай, ааруул, ээзгий цайнд хийж ууна. Шар будаатай хярамцаг хүртэл иднэ. Ясыг жигтэйхэн сайхан мөлжинө.

Нэг өдөр гал тогоонд орж ирлээ. Хэн нь ч юм нэг чөмөгний яс дутуухан мөлжөөд зуухны тосгуур руу хаяж орхиж. Түүнийг хараад "Үгүй та минь үүнийг хараач. Хэн нь ингэж цамаан загнадаг байна аа. Монгол Улс малаа 200 сая болгоно гэж байдаг. Гэтэл Маршалынх хог дээрээ мөлжүүртэй чөмөгний яс хаяж байдаг. Ядаж чөмгийг нь ташиж иддэг байгаа даа. Хүн амьтан харвал юу гэх билээ" гэж бүр үнэн сэтгэлээсээ дургүйцэж байсныг мартдаггүй юм" гэжээ

Saturday, October 27, 2012

Чингис хааны цэргийн ноёд

Хан хүмүүний есөн билгийн нэг нь “Түшиг сайн өрлөгүүд” хэмээн “Дөрвөн төрийн арван буянт номын цагаан түүх"-нд өгүүлжээ. Чингис хаан нэгэн удаа: “Хубилай, Зэлмэ, Зэв, Сүбээдэй та дөрвөн нохдоо зорьсон газраа илгээж, Боорчу, Мухули, Борохул, Чулуун баатар эд дөрвөн хүлгүүдээ дэргэдээ авч, хатгалдах өдөр болбоос Жүрчидэй, Хуйлдар хоёрыг Урууд, Мангудтайгаа урдаа байгуулваас бүгд сэтгэлээ амруулах бөлгөө би” хэмээсэн гэж “Монголын нууц товчоо”-нд бичсэн буй.
Үнэхээр ч төрийн итгэлт түшээ баатар, ноёдгүй байх аваас хэдий аугаа ч гэлээ ганц хаан юу болохсон билээ. Их хаантан есөн өрлөгтэй байсан хэмээгддэг авч тэр нь хожмын сударчдын “бүтээл" бөгөөд үнэхээр байсан гэвээс анхны есөн өрлөгийг лав эл арвын дотроос сонгож түшсэн байх магадлал туйлын өндөр бөлгөө хэмээхийг дашрамд дурдсу.
Чингис хаан Тэмүжин Их Монгол Улсын хан ор суумагцаа хийсэн шинэчлэлийн нэг нь ноёд баячууд гагц удам залган цэрэг захирдаг байсан ёс заншлыг халсан явдал. Тэгээд цэрэг захирах авьяас чадвар төгөлдөр “Монголын нууц товчоо"-ны хэллэгээр "Эрдэмтэн, бие, шил сайтан” бөгөөд хаан төрдөө үнэнч, амь хайргүй зүтгэгсэд, гавьяатныг сонгон томилох болжээ.
Хаантан: “Арван хүмүүнийг байлдаанд зохих ёсоор засан тулалдаж чаддаг хүнд мянгат, түмтийг ч өгч болно. Тийм хүн тэднийг байлдаанд удирдаж чадна” хэмээн зарлиг буулгасан гэж “Судрын чуулган”-д өгүүлжээ. Тиймээс түүний цэрэг ард хэн ч бай, гарал үүслээсээ үл хамааран гагцхүү авьяас чадвар, хичээл зүтгэлээрээ шалгарч, эзэн хаандаа үнэнч байваас ямар ч албан тушаалд томилогдох боломжтой болсон ба эл зарчмыг төрийн бодлогын хэмжээнд гаргаж тавьсан нь тухайн үед өөр хаана ч байгаагүй шинэлэг зүйл байсан бөгөөд цэрэг ардыг албандаа үнэнч зүтгэхэд нь чухал нэгэн хөшүүрэг болж байсан нь дамжиггүй.
Чингис хаан бас нэгэн удаа өгүүлэхдээ: “Есүнбай лугаа адил баатар эр байхгүй, тийм авьяастан ч үгүй. Гэхдээ тэр бэрх аянд зүдэрдэггүй, өлсөж цангахыг ч тоодоггүй болохоороо хамт буй бусад бүх хүн, нөхөд, дайчдад өөртэйгээ адилхан аяны хүндийг даадаг гэж боддог. Гэтэл тэд нь аян замын бэрхийг тийн даах хүчин мөхөс бөлгөө. Ийм болохоор тэрбээр цэргийн ноён байж таарахгүй.
Гагцхүү өлсөх, цангах гэж юу болохыг мэддэг, түүгээрээ бусдын байдлыг жишиж мэдэрдэг, тэгээд аян замд цэргээ өлсгөж цангаадаггүй, морь малаа турааж тамирдуулахгүй байлгаж чаддаг, тийм тооцоотой явдаг хүнийг л зөвхөн цэргийн ноён болгож болно” хэмээсэн гэдэг Энэ бол эгэл жирийн мэт авч хамгийн зөв зохистой шалгуурын нэг байсан бөгөөд аливаа шатны удирдагчийг томилохдоо одоо ч санаж явах ёстой чухал зүйл гэдэг нь ойлгомжтой.
нэ мэт бодит амьдралаас урган гарч, Их хаантны дэвшүүлэн тавьсан шалгууруудыг даван гарсан монгол цэргийн ноёдын дотроос үе хэтийдсэн өрлөг баатрууд олон төрөн гарсан билээ. Тэдний нэг нь Сүбээдэй баатар. Тэрбээр Чингис хааныг Хорезм буюу Сартаулын шахтай дайтах үед Зэв ноёны хамт анх Кавказыг давж өрнө зүг хүлгийн жолоо хандуулан перс, түрэг, алан, армян, гүрж, орос, болгар, башкир, кибчакийн нутгаар довтолгон хурдавчилсан аяныг хийсэн нь дэлхийн цэргийн урлагийн түүхнээ хосгүй гайхамшигт аялан дайлалт хэмээн тооцогдох болсон бөлгөө.
Бат хааны удирдсан монгол цэрэг орос, кибчаг болон польшоор дайрч, хүчирхэг рыцариудыг хүртэл бут цохин Унгарыг эзэлж, Адриатын тэнгис хүрсэн их аян дайныг төлөвлөж, удирдан гүйцэтгэгчийн нэг нь мөн л Сүбээдэй баатар байв. Гэхдээ түүний үйл ажиллагаа гагц өрнө дахинаар хязгаарлагдаагүй юм. Хятад, Тангудад хийсэн аян дайнд ч алдар нэр нь дуурсаж атаатан дайсныхаа өвдгийг чичрүүлж байжээ.
1232 оны эхээр монгол цэрэг хятадын Жиян Зэү хотыг дайлж цэргийн ноёдыг нь баривчилсанд Алтан улсын ахалсан сайд Ван ян Хад гэгч Сүбээдэй баатрыг биеэр уулзуулж өгөхийг хүсчээ. Сүбээдэй түүнтэй уулзваас Хад: “Таныг тэнгэрээс заяасан баатар эр хэмээсэн нь үнэн ажээ. Эдүгээ Таныг олж үзэв. Би одоо нүд анин үхсү” хэмээсэн гэдэг.
Дайснаа хүртэл ийн бишрүүлэх нь гагцхүү аугаа их өрлөг баатрын, ховорхон тохиох сүр хүчин болой. “Чингис хаан, Сүбээдэй хоёртой стратегийн ур чадварын хувьд зөвхөн Наполеон л эн зэрэгцэж чадна” хэмээн цэргийн нэрт түүхч Лидделл Харт өгүүлсэн бол орчин үеийн судлаач Ричард А, Габриэл “Түүнтэй тактикийн гайхамшигаараа гагц Ханнибал, Скипио хоёр л эгнэх агаад тэрбээр стратегчийн хувьд Македоны Александр, Цезарь хоёртой л эн зэрэгцэх их жанжин" хэмээн өгүүлсэн байдаг.
Сүбээдэй баатар лугаа адил тэр цагийн дорно, өрнийхний сайн мэдэх болсон өрлөгүүдийн нэг нь Зэв. Тэр ч бүү хэл монголын түүх сударт Зэвийн нэр гол төлөв Сүбээдэйн өмнө бичигддэг нь цаанаа учиртай биз. Түүний уг нэр нь Зэв бус Зургаадай бөлгөө. Анхлан Чингис хаантай дайтаж асан Тайчуудын Бэсүд овгийн залуу баатар. “Хүйтний байлдаан” хэмээн түүхэнд тэмдэглэсэн 1202 оны нэгэн тулалдааны төгсгөлд Чингис хааныг харваж сүйдлэх дөхсөн эр.
Байлдаанд Чингис хааны цэрэг ялж түүнийг олзолсон бөгөөд хаантан түүнээс “Миний зэвлэх (байлдааны) ам цагаан хулын аман нурууг нь хэн хуга харвалаа?” хэмээн асуусанд “Уулан дээрээс би харвалаа. Өдгөө хаан үхүүлвээс алганы төдий газар үхэж хоцорсу. Соёрхогдвоос хааны өмнө цээл усыг оггору (цалгитал), цэгээн чулуу цуур (хагартал) довтолж өгсү” гэжээ.
Эл чин зоригт үгийг сонсоод Чингис хаан “Дайсан болж байсан хүмүүн алсан, дайсагнаснаа нууж, үгээ буцах ажгуу. Энэ хэлснээс (үзвэл) алсан, дайсагнаснаа (үнэн) мөнөөр заан (хэлэх) буюу. Нөхцөлт (нөхөрлөж болох шударга) хүмүүн буюу. Зургаадай нэрт ажгуу. Мөн зэвлэх ам цагаан хулын минь аман нурууг харвасны тул Зэв гэж нэрлэж Зэв болгоё чамайг” хэмээгээд “Дэргэд минь яв” гэж зарлиг буулгажээ.
(Монголчууд байлдааны хуяг нэвтлэгч сумыг “Зэв” гэдэг). Түүнээс хойш Зэв ноён Чингис хааны шадар нөхрийн нэгэн болж, олон удаагийн байлдаанд ганц ч ялагдаж үзээгүй бөгөөд түүний удирдсан байлдаан, тулалдаанууд нь Чингис хааны цэргийн урлагийн түүхэнд ч ур  чадвараараа ялгаран томоохон байр эзэлдгийг өрнө, дорнын түүхчид тодруулан тэмдэглэсэн нь цөөн бус.
Чингис хааны цэрэг анх хилийн дээс алхаж Алтан улсыг дайлсан 1211 онд Зэв ноён Гүйгүнэг баатрын хамт Цавчаал боомт хүрэхүй сахиул цэргийн хүчин нэн их агаад бэхлэлт нь ч бэрх чанга ажээ. Түүнийг үзээд Зэв ов зохион “Эднийг өдөж хөдөлгөж ирүүлээд тэнд хүч сорьё” хэмээгээд хүчин мөхөсдсөн дүр эсгэж эргэн буруулсанд хятад цэрэг омогшин боомтоосоо гарч нэхэн уулыг бүрхэж ирэхүйеэ Зэв гэнэт эргэн довтолж бут цохив гэдэг.
Бас нэгэн удаа Дүн чан хотыг дайлах үед хотыг сахигчдын хүчин бас л нэн их агаад бэлтгэл сайтай байсан тул цайзыг эвдэж эс чадаад мөн л ов зохион тэднийг дийлэлгүй орхин одож буй мэтээр ухран гарч нутгийн зүг эргэж зургаа хоногийн газар оджээ. Гэтэл Зэвийн цэрэг тийнхүү алс хол одсон тухай мэдээ авсан хотынхон ихэд хөхөн баясаж сонор сэрэмж алдан найр цэнгэл болж байх үед нь эргэн ирж нэгэн шөнө гэнэдүүлэн довтолж эзлэн авч байжээ. Энэ мэт Зэв ноёны удирдсан гайхамшигт уран байлдааны ажиллагаанууд цэргийн урлагийн түүхнээ онцгой хуудас болон мөнхөрсөн билээ.
Чингис хаан 1217 онд өрнө зүг дайлаар мордохдоо өмнөдийн хэргийг бүхлээр нь Мухули-д итгэн даатгаж, оройн дээд дайчин хар туг, зарлигийн алтан гэргэ гардуулахдаа “Энэ тугийг мандуулж миний ёсоор явтугай" хэмээн зарлиг болж байсан нь тэрбээр ямархуу итгэлтэй өрлөг баатар байсныг харуулах бас нэг баримт. Монгол цэргийн гол хүч өрнө фронтод дайчлагдаж одсон тэр үед Мухули ердөө 4-5-хан түмэн цэргийн хүчээр 15 түмэн морьт цэрэг, 50 гаруй түмэн явган цэрэг бүхий хүчирхэг Алтан улсыг мохотгон хазаарлах онцгой хүнд, бараг биелж болшгүй гэмээр үүргийг хүлээн хоцорчээ.
Энэ нь монгол цэрэг Сартаулыг дайлаар мордсон үед хүчин тарамдалгүй, бат ар талтайгаар амжилттай дайтах нөхцлийг бүрдүүлэх гол зорилготой байв.
Түүхэнд өгүүлснийг үзэхүл, “Алтан улсын цэрэг, жанжнууд Мухулийн нэрийг сонсмогц хирдхийн цочиж, буруулан дутаах болсон бөгөөд Алтан улсын цэрэг түүнийг “Улсын ван” хэмээн анхлан дуудах болжээ. Тийн болохоор Чингис хаан түүнийг бэлгэ дэмбэрэлтэй сайн үг, хэмээн сайшааж, түүнд мөнхүү “Улсын их (гоо) ван” цол шагнаж, Алтан улсыг дайлах цэргийн их ноёноор томилсон гэдэг.
Эл гурваас гадна Боорчу, Зэлмээс эхлээд бусад өрлөг баатрууд нь ч хаан эзэндээ туйлын үнэнч байхын үлгэр болдог байсан агаад “Монголын нууц товчоо”-нд өгүүлснээр гагцхүү “Сэтгэлийн хүлгээр нөхөрлөсөн” үнэхээр огтхон ч илүү хардаггүй, амиа хоохойлдоггүй дайчин нөхөд байжээ. Жишээ нь, Боорчу бол Тэмүжиний багын нөхөр агаад анх найман шарга морьдоо дээрэмдүүлээд ганцаар нэхэн ядаж явах замд нь учирч харамгүй их тус болсон. Гурван мэргид довтлон ирж Бөртэ үжинийг нь булаахад ч хамт зоволцон байж, Бурхан Халдунд гурвантаа бүслэгдэх үед нь Зэлмийн хамт дэргэдээс нь үл салан хамгаалж байв.
Далан нөмөргөсийн байлдааны дараа өдөржин шөнөжин бороо зүсрэн байсан үед Чингис хааныг тайван амраахын тулд нөмрөгөөрөө халхлан шөнөжин зогсохдоо өрөөсөн хөлөө ганцхан удаа сольж байсан хэмээх домог нь түүний үнэнчийн туйлыг өгүүлсэн баримт гэж болмой. Тэгээд ч худал зүйл гамч зангүй тул Чингис хаанд буруу, зөвийг нь хэдийд ч чигч шударгаар хэлж зөвлөдөг байсан учир хаантан Их Монгол Улсын хан ширээнд залрахдаа “Боорчу, Мухули хоёр зөв явдлыг минь зүтгүүлж, бурууг минь больтол ятгаж энэ оронд хүргэв” хэмээн сайшааж байжээ.
Зэлмэ гэхэд Тайчуудын Аучу баатрын цэрэгтэй Ононы хөвөөнд тулалдсан байлдааны үеэр Чингис хааныг шархдахад бусад хүнд үл итгэн өөрөө сахиж, хагсаж бүхий цусыг нь амаараа шимж, хааныг алжаах буй хэмээн холдож ч чадалгүй шимсэн цусаа хажуудаа асгаж, залгихыг залгисаар амийг нь аварч байв. Тэр үеийн нүүдэлчид сумандаа хор түрхэн харвадаг байсан учраас тэрхүү хор нь цусанд нэвчин орвоос туйлын аюултай байдаг байснаас Зэлмийн тэрхүү үйлдэл нь өөрийнх нь аминд ч хүрч мэдэх амь золисон үйлдэл байсан байна.
Тэгээд ч зогсохгүй ухаан сэргэсэн Чингис хааныг “Би ундаасаж байна” гэхэд нь уух юм олж өгөхөөр дайсны хүрээн дунд баригдахаас үл эмээн ганцаараа орж, бүрсэн тараг олж ирж хаантныг ундаалж байсан нь мөн л чин үнэнч хийгээд аюумшиггүй зоригтой, овжин ухаантайн жишиг гэлтэй.
Наян ноён гэвээс анх тайчуудаас салж, аавынхаа хамт Чингис хааныг зорин ирэхдээ өөрийн эзэн Таргудай Хирилтугийг дажин болж байж, амь дүйн барьж авч явсан боловч хан эзнээ тийн дайсанд нь хүргэх зохисгүй үйл” хэмээн сэтгэж зам зуураасаа суллан тавьж байсан хүмүүн. Чингэснийг нь харин хаантан ихэд таашаан “Тус хан эзнээ тэвчин ядсан их ёс төрийг сэтгэжихүй!" хэмээгээд "Наяа төвийн түмнийг мэдтугэй” гэж зарлиг болгож байжээ.
 Энэ мэтчилэн Зэв, Сүбээдэй, Мухули тэргүүтэн, Чингис хааны дэргэдийн их өрлөг баатруудын тухай дуртгаваас тун ихийг өгүүлэх болно. Алтны дэргэдэх гууль шарлана гэдэг. Чингис хааны хөвгүүн Зүч, Цагаадай, Өгөөдэй, Тулуй нар ч цэргийн гарамгай ноёд байлаа. 1206 онд Их Монгол Улсыг байгуулах үед мянганы ноёноор томилогдсон 95 хүн цөм хэн нь хэнээсээ дутахааргүй шалгарсан ноёд байв.
Ер нь Чингис хаан цэргийн авьяаслаг ноёдыг сонгон олох “нүдтэйгээс” гадна аравтын ноёноос эхлэн түмний ноён хүртэл цэргийн даргалах бүрэлдэхүүнд нэгэн ижил хатуу шаардлага тавьж, тэднийг сурган боловсруулахад ихээхэн анхаарч байсан нь цагийг эзэлсэн суу билэгт өрлөг баатрууд төрөн гарах нөхцлийг бүрдүүлж байжээ. Тэрбээр цэргийн хүн хэн ч бай эн түрүүнд хаан эзэндээ үнэнч шударга зүтгэх, хууль дүрэм, цэргийн цаазыг ягштал биелүүлж, хатуу чанд сахилгатай байх, олзонд үл шунах, цэргийн эрдэм төгс, тэсвэр тэвчээртэй байхыг шаардаж, бие даах чадвар сайтай байхыг онцгойлон үздэг байв.
Тийм учраас хамгийн дээд эрх мэдэл эзэн хааны гарт байвч, аливаа нэг байлдааны ажиллагааны төлөвлөгөөг хэрэгжүүлэхдээ монгол цэргийн ноёд харьцангуй бие даасан шийдвэр гаргах боломжтой  байдаг байжээ. Тухайлбал, тавигдсан зорилтын хүрээнд үйл ажиллагаагаа бүрэн чөлөөтэй явуулах эрх чөлөө тухайн хүнд ногдох бөгөөд гагцхүү хаантантай байнгын холбоотой байх зарлигийг хүлээдэг. Энэ нь тэдний хувьд стратегийн сэтгэлгээ, уран сэтгэмжээ хөгжүүлэхэд нь ихээхэн боломж олгож, ур чадвараа сайжруулахад нь түлхэц болж өгдөг байж.
Цэргийн ноён хүн хувийн ийм чанартай байхаас гадна захирагдагсаддаа хүнлэг хандаж байхыг хаантан чухалчлан захидаг байсан агаад “Энгийн ардын дунд нялх тугал мэт номхон бай! Дайн тулаанд орохдоо харин амьтан шүүрэх өлөн харцага мэт довтолтугай! Хэмээж байсан гэдэг. Эрдэм чадалтай даргалах бүрэлдэхүүнийг бэлтгэх талаар Чингис хааны “Хишигтэн" цэрэг өвөрмөц нэгэн сургуулийн үүрэг гүйцэтгэж байжээ. Олон дайнд Чингис хаан, түүний шадар нөхөд болох авьяаслаг ноёдын шууд удирдлагын дор оролцож, үлгэр дууриал авч явсан хорчин, турхаг, хэвтүүлийн дотроос хожим нь цэргийн шалгарсан ноёд олноор төрөн гарсан билээ.
Чингис хаан цэргийн ноёдынхоо ур чадварыг дээшлүүлэх талаар сургалтын янз бүрийн хэлбэр ашигладаг байсны нэг нь ноёдын чуулган юм. Жил жилийн эхэн ба төгсгөлд эл чуулганаар сургалт, байлдааны бэлтгэл болон засаг захиргааны бусад ажлаа дүгнэн цэгнэж, дараагийн зорилгоо дэвшүүлэн, хааны цааз зарлигийг сонсгодог байжээ. Тиймээс энэ ажлыг Чингис хаан онцгой чухал ач холбогдолтой хэмээн үзэж “Жилийн эхэн ба адагт ирж бидний санаа бодлыг сонсож байдаг түмт, мянгат, зуутын ноёд л цэргийг захирч чадна.
Гэртээ хоцорч бидний санал бодлыг эс сонсогсод усанд хаясан чулуу, хулсанд буусан сум мэт үгүй болно. Тийм хүмүүн цэрэг захирч үл болно” гэж зарлиг буулгасан байна. Олон ноёдын алдаа дутагдал, ололт амжилтыг цэгнэдгийн хувьд энэ чуулган дадлага туршлага солилцох, сургамж үлгэр авах чухал индэр болдог байжээ. Мөн ноёдын “Хурилдай” болон “Их эе” нь цэрэг дайны чухал асуудлыг хэлэлцэж, цэргийн ноёд, зөвлөгч нар өөрсдийн санал бодол, шийдвэрийн хувилбаруудыг чөлөөтэй өгүүлж, харилцан маргаж, ярилцсаны эцэст хамгийн ашигтай хувилбарыг сонгон баталж хэрэгжүүлэх арга замыг тодорхойлдог байснаараа эзэн хааны залгамж хойч үеийнхэн болон цэргийн ноёдын хувьд амьд сонирхолтой сургуулийн үүргийг гүйцэтгэдэг байсан гэж болно.
Даргалах бүрэлдэхүүнийг сурган боловсруулахад 1204 оноос эхлэн ашиглах болсон, одоогийн хэллэгээо бол “Цэргийн зөвлөхийн” буюу “Хосолмол, хамтын удирдлагын" арга барил өвөрмөц сонин бөгөөд онцгой ач холбогдолтой зүйл байв. Анх Өгэлэчэрийг “Хутусхалчантай эедэлдэж явутгай” хэмээн зарлиг болсон бөгөөд 1206 онд Хунан, Мөнхүр, Хэтэ гурвыг дүү Зүчдээ, Харачар, Мөнх, Идухадай, Хөхөчөс нарыг Цагаадайд, Жибэгээг Xacap, Чаурханыг Алчидайд “зөвлөхөөр” тус тус томилж, Боорчи, Мухули тэргүүтэн ноёд, Додай, Дохолху тэргүүтэн чэрби нарыг хүртэл “Хунан, Хөхөчөс хоёртой эедэлдэж, хамаг үйлсийг үйлдэгтүн” гэж зарлиг болсон нь “зөвлөхийг” бүр ч өргөн. хэмжээгээр хэрэглэх болсон баримт юм.
Тэгэхдээ цэргийн залуу ноёдын дэргэд хашир туршлагатай хүмүүсийг томилохоос гадна чадварлаг ноёд, өрлөгүүдийн хажууд залуу ноёдыг дагалдуулдаг байв. Хамтын удирдлагын ийм хэлбэр тэр цагт өөр хаана ч байгаагүй бөгөөд ноёдын нэг нэгний дутагдлыг харилцан нөхөрлөн залруулж өгдөг нь үр өгөөжтэй арга байлаа. Ийм хосолмол удирдлагын хэлбэрийг хэдийгээр огт өөр зорилгоор ч гэлээ бүр XX зуунд Орос, Монгол болон бусад зарим орны цэрэгт, тухайлбал “Комиссарын тогтолцоо”-ны хэлбэрээр хэрэглэж байсан нь сонин.
Чингис хаан ийнхүү дайнд хэрэгтэй бүхэнд цэрэг, ноёдоо сургах олон хэлбэр ашиглаж, нөхөд, ноёд, цэрэг, үр ачаа сургахдаа бас өөрийн биеэр үлгэрлэх аргыг нэн түрүүнд хэрэглэж ирсэн нь тодорхой байдаг. Чингис хаан байлдаанд манлайлан орж шархдан, өөрөө алгинчилж явсан баримтууд, бас хятадын эрдэмтэн Чан Чунь бумбад бичсэн захидалдаа “Би түмэн цэргийг сурган боловсруулахад биеэр бүхний өмнө явсан” хэмээсэн үг ч үүнийг нотолно. Их хаантан “Манай худалдаачид алтан хатгамалт хувцас, тансаг бараа авч ирэхдээ тийм эд зүйлээс ашиг олно гэж бат итгэлтэй байдаг.
Түүн лүгээ адил цэргийн ноёд нум сум харвах, морь унах, халз тулалдахад хөвүүдээ сайн сургаж, дасгал сургууль хийлгэж байх хэрэгтэй. Худалдаачид ажлаа мэддэг учраас түүн дээрээ авхаалж самбаатай, овжин байдагчлан хөвгүүдээ цэргийн эрдэм ухаанд сургаж аймшиггүй, чин зоригтой болгох хэрэгтэй” хэмээж, бас “Цэргийн ноён архи дарсанд дуртай болвоос өөрийн мянгат, зуут, аравтынхаа ажил хэргийг цэгцтэй байлгаж үл чадах агаад ажил үүргээ бүтэлтэй дуусгаж хүчрэхгүй”, “Түмт, мянгат, зуутын ноёд цэргээ хэзээ ямагт бүрэн бүтэн дэг журамтай байлгаж, тушаал буулгасан үед өдөр, шөнө ялгалгүй хэдийд ч аянд хөдлөхөд бэлэн байх ёстой” гэж зарлиг болгон буулгаж байжээ.
Чингис хаан олон жилийн дайн байлдааны практик, цэргийн байгуулалтын туршлагадаа тулгуурлан цэрэг, ноёдоо энэчилэн системтэй сургаж чадсаны үр дүнд дэлхий дахинаа суу алдраа дуурсгасан цэргийн гайхамшигт олон ноёд, баатрууд төрөн гарсан билээ.
Доктор, профессор Ж.БАЗАРСҮРЭН

Tuesday, October 16, 2012

Ю.Цэдэнбал Давст уулыг Оросуудад өгөхийг эсэргүүцэж байжээ

Саяхны нэг өдөр хүндэтгэж явдаг өвгөн зохиолчтой санаандгүй таарав. Тэрбээр өөрийн шинэ бүтээл туурвилын тухай ярьж байснаа “Чи сонинд ажиллаж байгаа бил үү. Би чамтай нэг хүн танилцуулна. Түүнд их чухал материал байгаа. Чамд хэрэг болж юуны магад” хэмээлээ. Би ч тэгсгээд мартчихаж. Хэд хоногийн дараа залгаж “Өнөөдөр чамтай нөгөө хүнээ танилцуулна. Чи завтай биз дээ” гэв. Ингээд хуучны ном зохиол, сонин хэвлэл цуглуулдаг Т.Чүлтэм гуайтай танилцуулсан юм. Тэрбээр миний багад хөврүүлж тоглодог байсан урд хөршийн “СҮҮ” гэсэн цэнхэр хальс өгч “Үүнийг нэг сонсоод үзээрэй” гэлээ. Их бүдэгхэн сонсогдох хальсан дээр С.Аварзэд гуай Давст уулын тухай ярьсан байлаа. Тэрбээр 1957 онд Гадаад явдлын яам/ГЯЯ/- ны сайдаар ажиллаж явсан хүн юм. Хальсан дээрх бүдэгхэн яриаг 1989 оны долдугаар сарын 21-нд дипломатч Б.Дашцэрэн соронзон хальсан дээр буулгаж авсан байна. Уншигч Та бүхэндээ ГЯЯ-ны сайд асан С.Аварзэдийн яриаг бүрэн эхээр нь хүргэе.


-Хэлэлцээ хийхийг хэн санаачилсан юм бэ. Яагаад хэлэлцээ хийх болов?

-Хилийн асуудлын талаар яриа гардаг байсан. Увсын хойд талын тагна, тувачууд мал хөөх, хил давуулж алдсан малыг буцааж өгөхгүй байх, хадлан бэлчээрийг зүй бус ашиглаж байна гэж манай ардуудаас хэл ам гомдол гаргадаг байсан. Ер нь хилийн хэлэлцээ хийх асуудлыг би Цэдэнбалаас сонссон. Бодвол тэр санаа Зөвлөлтөөс гарсан болов уу гэж боддог юм. Хэлэлцээ хийх төлөөлөгчдийн дунд геологич Дүгэрсүрэн байсан. Би Цэдэнбалд хэлж байж түүнийг бүрэлдэхүүндээ авсан юм. Дүгэрсүрэн гуай ямар ч гэсэн тэр хавийг геологийн баялаг ихтэй газар гэдгийг мэдэж байсан. Түүнээс асуухад жигтэйхэн их баялагтай, ураны хүдрийн орд газартай, өөр үнэт металлууд ч бий гэсэн. Энэ нь стратегийн түүхий эд юм л даа. Стратегийн тийм их материал тэнд байдаг нь үнэн юм шиг байгаа юм. Яагаад гэвэл сүүлд Зөвлөлтийн төлөөлөгчид ирээд төлөвлөгөө өгсөн. Увсаас хойш Тэсийн голоос хойшхи бүх нутгийг өөртөө оруулаад зурчихсан тийм гар зургийг санал болгосон юм.


-Өөрөөр хэлбэл, зураг дээр зурсан тэр хэсгийг Зөвлөлтийн нутаг гэж байгаа хэрэг үү?

-Үгүй ээ. Ер нь авна л гэсэн үг шүү дээ.


-Авъя гэж хүссэн үү, өг гэж шаардсан уу. Эсвэл хүсэлт тавьсан юм уу?

-Газар нь ч маргаангүй манайх. Авна гэж шаардлага тавьсан. Яагаад гэвэл Оросууд бүх социалист лагерийг хамгаалж байна. Иймээс бид ашиглах хэрэгтэй. Монголчууд дангаараа чадахгүй. Ашиглах чадал байхгүй гэж үзсэн хэрэг. Тэгэхээр тэнд тийм стратегийн чухал түүхий эд байсан нь үнэн байх. Тэгээд сүүлд нь өөр нэг хүн сонирхсон юм. “Би, юу байдаг юм бэ” гэж асуусан. Вольфрам, уран бий гэсэн. Би ч Зөвлөлтийн талд “Миний мэдэхгүй асуудал байна. Нэгдүгээрт, хилийн асуудлыг манайд Ардын их хурлын тэргүүлэгчид, өөрөөр хэлбэл төрийн дээд байгууллага шийдвэрлэдэг. Нэг хэсэг хүмүүс гэрээнд гарын үсэг зураад өгчих ёсон байхгүй. Хоёрдугаарт, энэ хил түүхэн хил. Хилийг өөрчлөх шаардлага байхгүй” гэж хэлсэн. Манай төлөөлөгчдийн байр суурь түүн дээрээ хатуу зогсчихгүй юу. Нөгөөдүүл чинь өнөөх зургаараа Тэсийн голоос хойш Тагнын нурууг оруулаад цөмийг нь авчихаж байгаа юм шүү дээ. Өөрөөр хэлбэл, газрын зургийг зураад хийгээд ирсэн. Тэд урьдаас сайн бэлтгэсэн байгаа юм. Би ч ерөөсөө хөдлөөгүй. Молотов намайг шахаад байдаг. Ю.Цэдэнбал дарга байхдаа, түүнээс өөр мэддэг хүн алга гээд хөдлөхгүй л байгаад байлаа.


-Та түүнийг ашиглаад асуудлыг хойш тавиад байсан юм байна шүү дээ?

-Үндсэндээ тийм. Ер нь л мухардалд оруулсан. Мухардалд оруулахгүй гэхээр буулт өгнө гэсэн үг. Буулт хийнэ гэдэг чинь түүхэн хилээ өгнө гэсэн үг. Тийм учраас ямар ч гэсэн мухардалд оруулсан. Тэгээд л суугаад байлаа. Цэдэнбал ирээд шийднэ биз гээд. Ямар ч гэсэн өгчихөж болохгүй юм гээд л... Тэгж байтал нэг өдөр Молотов уурлалаа. “Что вы цепляетесь за этот клочок земли” Тэр, алгын чинээхэн газраас юу гэж зуураад байгаа юм бэ?/ /орч/. Танай страна /улс орон/ чинь бүтнээрээ манайх байж болох тийм үе байсан юм шүү. Жишээлбэл гээд дэлгэрүүлээд баахан ярьсан.


-Үүнийг Молотов хэлж байгаа юм уу?

-Молотов хэлж байгаа юм. Тэгж байснаа ямар баяраар ч билээ, шинэ жилээс наана гэрээг зурна гээд. Би ч хэлдгээ л хэлсэн. Молотов голдуу явган явдаг байсан. Нэг орой хурал тарсны дараа “Проводите меня пожалуйста” Гаргаж өгөхгүй юу /орч/ гэж байна. Бид хамтдаа жаахан явлаа. Тэгсэн нөгөөдөх чинь “Видали этих министерчиков иностранных дел” Гадаад явдлын яамны иймэрхүү сайд шуу юмсыг үзэхээрээ л нэг болсон доо, бид нар /орч/ гээд л их занангуй хэлж байна. Би ч мэдэж л байлаа. Намайг хэллээ дээ гэж. Тэгэж байснаа шинэ жилээр түргэн зуръя гэж байна. Би ч мэдэхгүй л гэлээ. Шинэ жил өнгөрлөө. Тэднийг жаахан ухралт хийж эвлэрэлд хүргэдэг юм болов уу гээд би хүлээгээд байдаг. Нэг өдөр хэлэлцээ шиг юм боллоо доо. Улстөрийн товчоонд танилцууллаа. Танилцуулж байсан чинь Цэдэнбал даргын нарийн бичиг, нэг цаас аваад ороод ирсэн. Москвагаас илгээсэн телеграмм /цахилгаан утас/ гэж байна. Ю.Цэдэнбал явуулсан юм байх даа гэж бодлоо. Тэгсэн биш юм аа. Хрущевын нэртэй “ЦКМНРП” /МАХНын Төв хороонд/ гэсэн цахилгаан утас байна. “Поведение Вашего министра иностранных дел вызывает удивление в Москве” Танай Гадаад явдлын яамны сайдын байдал Москвад хачирхал төрүүлж байна /орч/ гэсэн байх юм. Дамба гуай “Энэ юу гэж байгаа юм бэ?” гэж байна. Дамба гуай битүүхэндээ миний талд байгаад байсан л даа. Цааш нь ямар шугам барих вэ гэж асуулаа. Энэ л шугамаа барих уу гэлээ. Тэгэхээс яах вэ гэж байна. Ер нь улсын хилийг өөрчилнө гэдэг бол хэн нэг төлөөлөгчийн саналаар болох зүйл биш л дээ. Төрийн дээд байгууллага шийдэх ёстой гэлээ. Миний ганц тулгуурладаг юм тэр. Тэгтэл хэн энэ хэлэлцээрийг авч явах вэ гэж Улстөрийн товчоон дээр яриа гарсан юм билээ. Тэгж байгаад Цэндэд өгчихсөн.


-Цэнд өөрийн чинь оронд хэлэлцээ хийх болж. Цаашид хэлэлцээ яаж явагдсан бэ?

-Бүү мэд. Би тэгээд эмнэлэгт хэвтчихсэн. Гэтэл хилийн хэлэлцээ төгсөж, гарын үсэг зуржээ. Цэнд зургаа авахуулж байна гэнэ гэж дуулдсан. Уг нь хэлэлцээрийн үед хоёр хөгшин малчин Увсаас авчирсан юм. Нэг оройн хурал дээр тэр хоёрыг эксперт /шинжээч/ гэрч болгож үйл явдлыг уялдуулах гэж оролдсон. Тувачууд яаж түвэг болдгийг тэднээр яриулж байгаа ухаантай юм. Ерөөс мал төл байлгадаггүй алдаршсан хэсэг улс байдаг юм чинь гэлээ. Тэгээд ч нэмэр болоогүй.


-Ер нь төлөөлөгчдийн бүрэлдэхүүнд ямар хүмүүс орж байсныг санаж байна уу?

-Манай талаас цөөхөн орсон шүү дээ. ДЯЯ-ны орлогч сайд Батаа, Хязгаарын цэргийн удирдах газрын дарга ЦэдэнИш нар орсон. Батаа, Цэдэн-Иш нарыг Цэдэнбал томилсон. Геологич Дүгэрсүрэнг би саналаараа оруулсан. Багш “яавлаг” Шагдарсүрэн орчуулагчаар оролцсон юм.


-Хэлэлцээ дуусч асуудал ч намжсан юм байна. Өөрөө түүнээс хойш ГЯЯ-ны сайдаар хэр удсан билээ?

 -Хэлэлцээрийн дараа л ГЯЯ-ны сайдаас буусан шүү дээ.


-Буулгахдаа ямар нэгэн шалтгаан хэлсэн үү?

-Ямар нэгэн шалтгаан байхгүй. Хэлсэн юм ч байхгүй. Тэгэхэд Унгарт элчин сайд явуулах хэрэгтэй болж, намайг томилсон. Тэр үед намын XIII Их хурал болж, миний бүх албан тушаал, сонгуульт ажлаас огцруулсан.


-Хилийн хэлэлцээртэй холбоотой юу?

-Битүүхэндээ тиймэрхүү утгатай юм уу даа. Намайг Унгарт явуулсан. Унгарын намын XIV Их хурал боллоо. Цэнд төлөөлөгчөөр очсон юм. Тэр Янош Кадараас /Унгарын удирдагч/ манай Элчин сайдын яамны ажиллагааны талаар тодорхойлолт гаргаж өгөхгүй юу гэсэн юм билээ. Тэгснээ сүүлдээ жаахан эргэсэн. Хожим намайг салах ёс гүйцэтгэхэд, нэгэнт ах дүү намын тал асуудал тавихад Улстөрийн товчоо ярилцаад ийм хариу өгсөн гэж бичиг үзүүлсэн. Тэр нь их том бичиг. Дандаа хэтрүүлсэн магтаал байсан.


-Матаас биш магтаал болжээ?

-Дан магтаал. Тэгсэн чинь намайг ороход архи уудаг, хөрөнгөтөн орны дипломатуудтай их юм ярьдаг. Югослав, Энэтхэг бас хаанахын билээ дээ. Австрийн дипломатуудаар дамжуулж барууны орнуудтай харилцаж байсан гэх маягтай үг гарлаа. Тэдэнтэй би муугүй харилцаатай байсан юм л даа.


-Унгарын намын Улстөрийн товчооны тодорхойлолтыг манай Төв хороонд ирүүлсэн үү. Удирдлага хүлээж авсан байх даа?

-Авсан байлгүй. Үүнийг Төв хороонд явуулчихсан гэж байсан.


-Одоо тэр чинь Төв хорооны архивт байх нь ээ?

-Тэгээд намайг ажил муу хийсэн гэж байна. Ах дүү намын Улстөрийн товчооноос намайг тэгж дүгнээгүй шүү дээ гэж би хэллээ. За тэгээд л таг болсон. Тэр /Унгараас ирсэн тодорхойлолт/ лав устсан болов уу.


-Энэ чинь 1950, 1960 он үед үү?

-1960 онд Содовын дургүйг би хүргэчихсэн юм. Ажил ердөө хийхгүй, төрөл садангаасаа урьж аваачаад, үйлчилж гүйгээд л. Бүр ажил нураагаад. Ажил хийхгүй бол асуудлыг өөрөөр тавина гэж Содовт би анхааруулсан. Гурав дахь анхааруулга нь тэр юм. Тэгээд л хэн нь хэнийгээ буцаах вэ гэдэгт хүрсэн. Одоо бол зөвхөн тэр Давст уулын тухай л яриа болсон юм шиг ойлгодог бололтой. Давст уул бол нэг хэсэг газар. Чухамдаа Увсын хойд тал тэр чигээрээ орсон юм. Тэсийн голоос хойшлоод Тагнын нуруу даваад маш өргөн газар. Түүн дээр бас Хөвсгөл аймгийн баруун хойт хэсэг, Шишигтийн гол гэж сайхан газар бий. Шишигтийн нуруу гэж сайхан нуруу бий. Бас их баялагтай. Тэр бүх газрыг ердөө анх гар зургандаа л Зөвлөлтийн тал оруулж зураад авчирсан. Бараг түүгээрээ л авсан болов уу.


-Ер нь нийт хэчнээн хэмжээний газар нутаг байв?

-Зурагтай бол хэлж цөхөх юу байх вэ дээ. Өргөөшөө 30 километр, уртаашаа Тэсийн голоос Хөвсгөл хүртэл 60-70 километр. 2000 орчим ам.дөрвөлжин километр газар. Баялаг ихтэй газар байсан шүү.


-Тэнд айлууд нутаглаж байсан уу?

-Нутаглалгүй яах вэ. Тэр айлууд бол санаж байгаа даа.


-Тухайн үеийн манай удирдлагууд тэр газар нутгийг өгөхгүй байж болоогүй юм байх даа?

-Яг үнэндээ өгөх дуртай хүн байгаагүй ээ. Ямар олиг байх вэ дээ, газар нутгаас нь тасдаад авна гэхээр хэний ч дургүй хүрнэ биз дээ. Нэг удаа Бал /Цэдэнбал/ дарга намайг дуудаад “Дээрээс шахаад байх юм. Ядуу буурай орны зовлон барагдах биш дээ, Аварзэд минь. Юутай ч асуудлыг нааштай шийдэхээр ярилцаж байгаа. Чи түр тогтож хүлээж бай” гэхэд миний нүднээс нулимс гарах гэж байсан шүү. Ингээд бодохоор тухайн үеийн төрийн удирдлагууд өгөх дургүй байсан юм билээ.

Т.Зөнбилэг

Thursday, October 11, 2012

Ч.Хурц: Монголчууд 30 жилийн дараа 656 сая ам.долларын өртэй хоцорно

Оюутолгой төсөл нь дор хаяж 50 жилийн хугацаанд эрэгжих төсөл хэмээн гурван жилийн өмнө гэрээг үзэглэсэн. Гэвч энэ гэрээг монголчуудад үр ашгаа өгөхгүй, хэтэрхий муу хийгдсэн гэж үзэх хэсэг байсан юм. Гэрээг өөрчлөх шаардлагатай гэж үзэж байсан хүний нэг бол Геологи, уул уурхайн сайд асан, доктор Ч.Хурц юм. Түүнтэй Оюутолгойн хөрөнгө оруулалтын гэрээний талаар ярилцлаа.

-Оюутолгойн гэрээ монголчуудын хувьд “шүдний өвчин” боллоо. Засч сайжруулах, Монголын талд ашигтай болгох гээд л асуудлууд ар араасаа хөвөрсөөр байна. Таны хувьд анхнаасаа энэ гэрээнд засвар хийх хэрэгтэйг сануулж байсан хүний нэг. Оюутолгойн хувь заяаг цаашид юу хүлээж байна вэ?
 -Хөрөнгө оруулалтын гэрээний гарчгаас эхлээд ярья л даа. “Монгол Улсын Засгийн газар болон Айвенхоу Майнз Монголия инк ХХК, Айвенхоу Майнз Лимитед, Рио Тинто интернэшнл холдинг лимитед компани хоорондын хөрөнгө оруулалтын гэрээ” гэдэг. Эндээс харахад ямар эрхзүйн чадамж бүхий хуулийн этгээдуүд юунд хөрөнгө оруулж байгаа нь мэдэгдэхгүй байна.

Аливаа гэрээ хэлцлийг гарчиг агуулга нь тодорхойлдог. Засгийн газар болон гэж байгаа нь буруу байхгүй юу. Монгол хэлэнд “болон” гэдэг чинь эхнийхээ үгийг задалж тодотгодог болохоос тэгш өгүүлэгдэхүүн биш. Олон улсын гэрээ эрхзүйн мэргэжилтэн хүн бол шууд харангуут анзаарч гурван этгээдтэй гэрээ хийчихээр хариуцагч байхгүй, тэгш эрхийн бус орчин үүсдэг гэж үздэг.

Хөрөнгө оруулалтын гэрээ гэсэн хэсэгт гэхэд “Хөрөнгө оруулагч нь Айвенхоу Майнз Оюутолгой, Монголия инк ” гээд энэ үгнээс эхлэн Монголын Засгийн газрын хөрөнгө оруулалтын эрхзүйн үндэслэлийг хасч гарчигтайгаа зөрөөд явчихаж байгаа биз. Гэрээний бүх үндэслэл нь Оюутолгойн ордыг эзэмших юм уу, Оюутолгой гэдэг төсөл юм уу, Оюутолгойд оруулчихсан хөрөнгө оруулалтын гэрээ юм уу гээд л гарчигнаасаа задаргаа нь ойлгогдохгүй. Дотор нь ороод заалт бүрийг үзэхээр жинхэнэ гэрээнд заасан заалт хавсралт гэрээнд заасан заалт хоёр нь зөрж мөргөлдөөд эхэлдэг.



-Жишээ нь ямар заалтууд байна вэ?


-Ганц зүйлийг л энд хэлье л дээ. Анхны хөрөнгө оруулалт гэдэг нэг тоо байх ёстой. Гэтэл энэхүү гэрээний хавсралт гэрээнд хөрөнгө оруулалтын хэмжээ есөн тэрбум доллараар өснө гэжээ. Цаашид ч Айвенхоу хөрөнгө нийлүүлэлтийг шаардах эрхтэй. Монголын Засгийн газар юу хянаж хөрөнгө оруулж оронд орох болж байна ойлгомжгүй.

Оюутолгой төсөл гэвэл Монголын коксжих нүүрсний 12 орд, тухайлбал Саусгоби энэ бүгд Оюутолгойн төслийн хүрээнд хийгдэж байгаа ажил. Тэгэхээр тийм төсөл байхгүй. Ерөөсөө Айвенхоу Майнз, Рио Тинтой холбогдсон бүх заалтууд орчихсон. Нэгдүгээрт бидний авч байгаа зээлийн жилийн хүү нь 6.8 хувь байна. Хөрөнгө оруулагч, хувь нийлүүлэгчдийн гэрээнд болохоор улирал тутамд орж ирсэн тоног төхөөрөмжийн үнэ, материалаар зээлийн дүнг гаргаад түүн дээр тэр улиралд ноогдох хувийг нэмээд дараагийн улирлын хүүг тооцохдоо нөгөө хүү нэмсэн зээлэн дээрээ нэмээд хийчихэж байгаа юм.

Тэгэхээр яг тооцоолоод үзэхэд давхар хүүгийн системийг хувь нийлүүлэгчийн системээр хийчихсэн байгаа юм. Ийм эрхийг нэг ТӨК- ийн захирлын гарын үсэг зурж баталгаажуулдаг эрх биш. Засгийн газар гарын үсэг зурч баталгаажна. Хөрөнгө оруулалтын гэрээнд 6,5 хувь, нийлүүлэгчийн гэрээгээр тооцохоор есөн хувь болдог. Бид хөрөнгө оруулагчийн гэрээ хүчинтэй гэж төлбөр төлөх болж байна уу, хувь нийлүүлэгчийнх хүчинтэй болж байна уу аль нэгээр бид төлбөрөө төлөх юм.

Оюутолгой төсөл анх орж ирэхдээ хөрөнгө оруулалтын хэмжээ нь 2,7 тэрбум байсан. Дараа нь 4,2 хувиас нэмэгдсээр 5,6 хувь болсон. Тэд өөрсдөө техник эдийн засгийн үндэслэлээ ярихдаа бид дахин тодруулга хийгээд 4,2 хувь болголоо гэсэн. Энэ гэрээний тоо баримтуудад жигд юм нэг ч байхгүй. Хариуцагч байхгүй ийм гэрээн дээр бид хэнтэй юу ярьж харилцан хариуцлага хүлээх болж байна.


Ямар ч улс орон өөрийнхөө хуулийг гадны компанийн гэрээнд зориулж засварладаггүй. Манай улс бол асар олон хуулинд засвар оруулсан. Монголын тал дандаа үүрэг хүлээж, гадны тал дандаа эрх эдэлнэ. Үүнийг шударга тэгш эрхийн гэрээ гэдэггүй юм. Энэ бүхнийг ингээд задлаад ярих юм бол чи бид хоёр нэг өдөр байтугай 10 хоног ярилцахад ч багадна.



-Оюутолгойн гэрээг өөрчлөх нь хөрөнгө оруулагчдаа алдах цаашлаад олон улсад манай улсын нэр хүндийг унагана гэж ярих хэсэг байна?


-Хүн хүн өөр өөрийнхөөрөө ярьдаг байх. Хэдий их айлгана төдий их сүр хүчийг бадруулна. Тэгэхээр одоо бол айлгах систем нэлээд их газар авсан байна. Гэрээ байгуулахаас алдаатай байна гээд байхаар гадны хөрөнгө оруулагч гараад явчихна. Харин гэрээг байгуулчихвал хөрөнгө ороод ирнэ гэж байсан. Байгуулахын тулд биш тэгш эрхийн хувиар гэрээг байгуулдаг.

Анх энэ Оюутолгой чинь гэрээ биш, хууль гэж орж ирсэн. Оюутолгой хөрөнгө оруулалтын хууль гэсэн нэртэйгээр орж байсан юм. Ийм нөхцөлийг бүрдүүлсэн Монголын Засгийн газар, ийм гэрээ хийсэн хүмүүсийн хариуцлагын тухайн асуудлыг хөндөж ярих ёстой. Түүнээс энд хэн нэгнийгээ буруутгах гээд эрүү үүсгэх гээд байгаа зүйл биш. Цаана нь Монголын ард түмний хувь заяа гэж хэн ч үл хязгаарлах эрх хэмжээ алдагдсан байгаа.



-Оюутолгойг Бороо Гоулд шиг хэдхэн жилийн дотор цөлмөчих юм биш биз. Юун 30 жил болох вий гэсэн эмзэглэл бас нэг хэсэгт нь байна?


-Бороо Гоулд шиг л юм болно. 15 жилд тогтвортой гэрээ хийгээд эхний таван жил татваргүй. Түүний дараагийн таван жил ашгийн 50 хувь татвар авна гэсэн. Гэтэл эхний таван жилд л бүгдийг нь гаргачихсан шүү дээ. Ашиг хуваана, ашиг гаргана гээд ирэхээр гадны хөрөнгө оруулагч тэнэг биш бол бидэнд төлөх ашиг байхгүй, та нарт авах ашиг байхгүй. Гагцхүү монголчууд зээлийг төлнө. Би дандаа төлбөр төлнө. Бид зээлийн хүү төлнө, хүүгийн хүү төлсөөр байтал нэг л өдөр Оюутолгойн орд олборлоод дууссан байна. Тэгээд бид өртэй хоцорно.



-Яагаад өртэй хоцорно гэж?


-Манай судлаач эрдэмтэд Оюултолгойн гэрээний дагуу Монголын авсан зээл, зээлийн хүүг улирал тутам, хүүгийн хүүг төлөхөд жилд олон сая ам.доллар алдагдалтай гарна. 34 хувийг нэмлээ гэхэд улам л өрөнд орно. Бүх роялти, ашиг орлогын татвар гээд тэднийхэээс авч болох бүх мөнгөө аваад байхад л бид 30 жил өр төлөөд, өртэй хоцорно.


-Яагаад?

-Маш тодорхой. Монголд орлого болон орж ирэх мөнгө, жил жилээр бичиж байгаа өр хоёр чинь ихээхэн зөрүүгээр жил бүр өр өснө. Энэ жилийн өрөө төлөөгүйгээс хойтон миний өр нэмэгдээд байгаа. Намайг сайд хийж байхад үйлдвэрийг барихдаа долоон жилийн дотор анхныхаа хөрөнгө оруулалтыг нөхөж чадахгүй бол үйлдвэрийг барихыг хориглодог байсан. 30 жил зээлийн хүү төлөөд бид өрөнд орсон хэвээрээ л байна. Дээр нь 30 жилийн дараа 656 сая ам.долларын өртэй хоцорно. Бүх юмаа хүнд зүгээр ашиглуулаад өөрөө өртэй хоцорно.



-Та Бороо Гоулдын дүү орд илэрч байгаа гэсэн. Энэ талаар тодруулаач?

-Бид судалгаа хийгээд байна л даа. Зарим улсын төр засгаас явуулж байгаа бодлого нь Монгол Улсад юу байна түүнийг нь бүгдийг хамаад гарга гэсэн систем яваад байна. Авч байгаа юм нь хэдэн төгрөгийн үнэтэй, ямар ашигтай юм, тэр хамаагүй. Одоо хууль энээ тэрээ гээд хэрүүлээ хийгээд будилж байхад нь бүгдийг цөлмөөд аваад хил давуулаад бай, тэр асгасны дараагаар нь бид юу болгохыг мэднэ гэсэн ийм л систем ажиллаж байна. Дорнод аймагт маш сайхан орд газар бараг дүү Бороо Гоулд гарах гэж байна л даа.

“Алтан цагаан овоо” гэж алтны орд газар. Мөн цайр, зэс, хар тугалгатай холимог металлын орд юм шиг байгаа юм. Энэ ордын техник, эдийн засгийн үндэслэлийг хийхэд 10 жилийн дотор бүгдийг нь авч гарахаар тооцоо хийж байх шиг байна. Гадаадын хөрөнгө оруулалтын эхний таван жил татвар авдаггүй, дараагийн таван жил 50 хувиар багасна гэдгийг далимдуулаад бүгдийг нь авна.

Уг нь тогтвортой байдлын гэрээг цэрэг дайны байдалд орчихсон улс орны Засгийн газруудтай хийдэг юм гэнэ билээ. Ер нь байнгын үймээнтэй тогтворгүй улс орнуудтай ийм гэрээ хийдэг юм гэсэн. Төр засаг нь тогтвортой ажилладаг манай улсад зөвхөн хөрөнгө оруулалтын гэрээг л хийх ёстой. Тогтвортой байдлын гэрээг хийгээд ард түмнээ сүйрүүлчихлээ шүү дээ.



-Уул уурхайн ассоциацийн гүйцэтгэх захирал Н.Алагаа ярихдаа манайх татваруудаа аваад 71 хувийн ашиг хүртэх боломтой гэж байна. Та болохоор өрөнд орно гээд...

-Н.Алагаа чинь Уул уурхайн ассоциацийн гүйцэтгэх захирал. Тэгэхээр тэр байгууллага чинь гишүүн байгууллагынхаа эрх ашгийн төлөө л явдаг. Тийм учраас тэр хүний яриад байгаа юмыг яаж ойлгох нь манай ард түмний боловсролын ерөнхий түвшингийн асуудал. Ямар байлаа ч гэсэн 29/71 хувь үлгэр. Хуваах ашиг байхгүй байхад элэнцийн 71 хувь байдаг юм бэ. Яагаад хуваах ашиггүй байдаг юм бэ гэхээр хөрөнгө оруулалт, зардлаа туйлын их нэмээд жилийн ашигт ажиллагааны түвшин “0” гээд үйлдвэрээ хаана.



-Манайд Оюутолгойн төслийн хэрэгжилтэд хяналт тавих боломж байдаг юм уу?

-Ер нь гадаадын хөрөнгө оруулалтын стратегийн ач холбогдолтой орд газрыг эзэмшихтэй холбогдсон асуудлыг авч үзэхэд Монголын зөвхөн дотоодын хяналт мэргэжлийн байгууллагын төлөөлөл техник технологи болон Монголын талын өмнө хүлээсэн гэрээний үүргийг хэрхэн биелүүлж буйг тэдний зардлаар хянаж байх ёстой л доо. Ямар техник технологиор ашиглаж байна.

Анх оруулсан төслөө хэрэгжүүлж байна уу гэдгийг хянаад сууж байдаг Монголын төлөөлөгчийн газар байх ёстой. Тэр төлөөлөгчийн газар техник технологид нь бүгдэд нь хяналт тавих ёстой. Одоо хяналт тавих эрхгүй байхаар хуулиа хийсэн учраас хэрвээ Монголын орд газрыг сүйтгэчихээд гарахад бид яаж ч чадахгүй хэмжээнд хүрээд байна. Хариуцлага хүлээх хүн байхгүй.

Бид хариуцлагагүйн тогтолцооны дээд зэргийн хуулиудыг бид хийгээд байна шүү дээ. Хариуцлагагүй байна гэдэг бол улс орон дампуурахын шинж. Засгийн газар хэлж байгаа нь “Яалаа гэж дээ бид буруутай биш шүү дээ. УИХ-д санал оруулсан Айвенхоу Майнзын гэрээнд бид тогтоол гаргаад өгсөн” зөв юм хийсэн. Монголын тусын тулд гарын үсэг зурлаа гэсэн бол чи хариуцлагыг нь давхар хүлээх л хэрэгтэй. Ийм хариуцлагагүй УИХ, Засгийн газар байж болохгүй хариуцлагыг чангатгана

 -Ярилцсан танд баярлалаа.

П.Нансалмаа
Эх сурвалж:”Улаанбаатар Таймс”